vcs仿真教程(查看断言)

VCS是在linux下面用来进行仿真看波形的工具,类似于windows下面的modelsim以及questasim等工具,以及quartus、vivado仿真的操作。

1.vcs的基本指令

vcs的常见指令后缀

sim常见指令

2.使用vcs的实例

(1)新建文件夹:

命令:mkdir +文件名,例如:mkdir tst

(2)拷贝文件

然后直接把设计文件和仿真文件拷贝到tst7这个文件夹里。

(3) 输入命令

打开终端,输入编译命令;

vcs *.v .v -R -degug_all -full64 -gui -l log( .v分别问设计文件和仿真文件)

-R:表示编译完以后直接运行可执行文件,若不写,则不能执行可执行文件。

-debug_all:表示调用UCLI和DEV,并为进一步调试DEV建立所需的文档;

-gui:调用VCS图形界面;

-l:后面要加一个日志名称(自己命名),如我命名为log,-l log.即记录编译过程日志。

-full64:64位操作系统要写上,不然会报错。

vcs -sverilog  -debug_all   -timescale=1ns/1ps  full_adder.v  full_adder_tb.v -l com.log

或者使用如下命令:

vcs -sverilog  -debug_all   -timescale=1ns/1ps  *.v  *.sv -l com.log

*.v *.sv 表示通配符,这里先写full_adder.v 是因为tb文件需要调用它,所以把被调用的文件先写出来,这条语句会生成simv文件(如果使用了-o simv_file会生成对应的文件名字)。

(2)可以用./simv文件执行波形的界面,也可以采用下面指令以后台方式打开一个界面。

dve  &
 
或者
 
./simv -l run.log -gui

(3)如果以./simv执行会直接看到波形文件

在弹出的信号窗口,点击需要观察的信号,鼠标右键,选择"Add to wave"->"New wave view"保存到新的wave窗口,或者"Add to wave"保存到已有的窗口中。

点击如下按钮,开始仿真;

如果使用dve & 需要自行加入simv文件来查看波形,选中simulator->Setup,加入生成的simv文件。

在下方run all,如果没有给时间刻度这里会报错。没有报错,则说明我们的功能是完全正确无误的。右键加入波形文件,按f键显示波形。

(4)如下的四个按键作用

第一个: 追踪谁驱动该信号 ctrl+d

第二个: 追踪信号的值变换 ctrl+shift+d

第三个: 追踪未知态

第四个: 追踪用于驱动谁

相关推荐
hh1992031 个月前
systemverilog中的DPI-C用例介绍
c语言·systemverilog·dpi-c
逍遥xiaoy4 个月前
SystemVerilog测试框架示例
systemverilog·uvm
谷公子的藏经阁4 个月前
设计模式在芯片验证中的应用——迭代器
设计模式·systemverilog·uvm·芯片验证·design pattern
wjh776a685 个月前
基于PCIE4C的数据传输(三)——使用遗留中断与MSI中断
linux·fpga开发·systemverilog·xilinx·pcie
wjh776a687 个月前
【RS422】基于未来科技FT4232HL芯片的多波特率串口通信收发实现
fpga开发·verilog·systemverilog·xilinx·rs422
一只迷茫的小狗9 个月前
systemverilog/verilog文件操作
systemverilog
apple_ttt10 个月前
SystemVerilog学习(0)——目录与传送门
fpga开发·fpga·systemverilog·芯片验证
一只迷茫的小狗1 年前
systemverilog:interface中端口方向理解
systemverilog
apple_ttt1 年前
SystemVerilog学习(8)——包的使用
fpga开发·fpga·systemverilog·芯片验证
apple_ttt1 年前
SystemVerilog学习 (10)——线程控制
fpga开发·fpga·systemverilog·芯片验证