FPGA图形化前仿真

引言

上文提到电路连接如下:

期望结果如下:

一.创建wrapper文件

1.创建HDL wrapper;

2.要是该文件不是顶层(set as top),则需要把文件置于顶层

二.综合

1.综合的目的主要是排除语法的错误;

2.内存使用和性能进行了优化等等

三.写前仿真激励文件

四. 测试文件

cs 复制代码
`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2024/01/11 11:36:10
// Design Name: 
// Module Name: teas_project
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module teas_project();
    reg  A;
    reg  B;
    reg  C;
    wire Y;
    
 initial begin
    A = 0;
    B = 0;
    C = 0;
    #10;
    A = 0;
    B = 0;
    C = 1;
    #10;
    A = 0;
    B = 1;
    C = 0;
    #10;
    A = 0;
    B = 1;
    C = 1;
    #10;
    A = 1;
    B = 0;
    C = 0;
    #10;
    A = 1;
    B = 0;
    C = 1;
    #10; 
    A = 1;
    B = 1;
    C = 0;
    #10;
    A = 1;
    B = 1;
    C = 1;
 end   
  test_wrapper test_1
       (.A(A),
        .B(B),
        .C(C),
        .Y(Y));   
    
endmodule

五.测试结果

相关推荐
li星野3 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安3 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠4 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt5 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian8 小时前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt1 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程1 天前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt1 天前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~1 天前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA1 天前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发