fpga开发

神仙约架1 小时前
fpga开发·verilog·generate·genvar
【FPGA】Verilog 中的 genvar 和 generate 语句教程在 Verilog 中,generate 语句用于生成多个结构化的代码块,这些代码块可以是循环(使用 for)或条件生成(使用 if)。genvar 是一个在 generate 块中使用的变量,用于迭代生成实例。
北京太速科技股份有限公司3 小时前
fpga开发
太速科技-基于Xilinx Kintex-7 FPGA K7 XC7K325T PCIeX8 四路光纤卡一、板卡概述板卡主芯片采用Xilinx公司的XC7K325T-2FFG900 FPGA,pin_to_pin兼容FPGAXC7K410T-2FFG900,支持8-Lane PCIe、64bit DDR3、四路SFP+连接器、四路SATA接口、内嵌16个高速串行收发器RocketIO GTX,软件具有windows驱动。
吾尹先森5 小时前
经验分享·fpga开发·verilog
关于FPGA对 DDR4 (MT40A256M16)的读写控制 4语言 :Verilg HDL 、VHDL EDA工具:ISE、Vivado、Quartus II博主将会写一个系列的文章 关于FPGA对DDR4(MT40A256M16)的有效读写控制,最大化FPGA对DDR4的读写控制。首先将对DDR4的技术文档进行研读,注重DDR4的读写操作、刷新时间等。
.carpe.diem.14 小时前
图像处理·嵌入式硬件·fpga开发
双路视频同屏显示(拼接)-基于野火Zynq7020开发板米联客FDMA驱动OV5640摄像头—基于野火Zynq7020开发板 本文在此基础上,实现了双路视频拼接。将ov5640输出的1024600的图像数据缩放为512600,分两路写入ddr3,并且显示在1024*600的RGB屏幕中。 纯FPGA也可以按此方法实现。
阳排1 天前
笔记·学习·fpga开发
FPGA学习笔记(7)——Vivado Simulator仿真软件的使用Simulator仿真虽然不需要使用硬件资源,但是需要编写激励文件,也就是testbench代码。仿真是设计输入后的第一个步骤,也是实现后的最后一个步骤,可以分为功能仿真(RTL仿真)和时序仿真。
亿佛1 天前
javascript·人工智能·机器学习·fpga开发·自动驾驶
自动驾驶仿真-测试标准与测试用例设计第二篇文章:测试标准与测试用例设计在进行自动驾驶仿真测试之前,我们需要明确评估系统性能的测试标准。本项目将采用以下标准来全面评估自动驾驶系统的性能:
Terasic友晶科技1 天前
fpga开发·nios ii开发
第23篇 滑动开关控制LED<一>Q:如何使用Intel FPGA Monitor Program设计实现滑动开关控制LED的汇编程序呢?
作精本精2 天前
fpga开发
Xilinx FPGA:vivado实现超声波测距项目要求:超声波模块测出的距离显示在数码管上产生时钟使能信号的模块:产生trig信号的模块对echo信号高脉冲计时
深圳信迈科技DSP+ARM+FPGA2 天前
stm32·安全·fpga开发
【STM32+FPGA】先进算力+强安全+边缘AI,64位STM32MP2聚焦工业4.0应用工业应用数字化和智能化程度,是衡量新质生产力的重要标准。STM32最新一代64位微处理器STM32MP2凭借先进算力、丰富接口和高安全性,为高性能和高度互联的工业4.0应用赋能。
小白FGPAer2 天前
fpga开发
Verilog进行结构描述(三):Verilog模块实例化微信公众号获取更多FPGA相关源码:实例名字后有范围说明时会创建一个实例数组。在说明实例数组时,实例必须有一个名字 (包括基本单元实例)。其说明语法为:
作精本精2 天前
fpga开发
Xilinx FPGA:vivado实现点阵屏动画项目要求:实现心碎小丑动画之前有写过点亮一个点阵屏灯的博客,是这次实验的基础https://blog.csdn.net/loveyousosad/article/details/139747255?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22139747255%22%2C%22source%22%3A%22loveyousosad%22%7D
十六追梦记2 天前
笔记·fpga开发
Verilog刷题笔记49——Fsm1同步复位题目:解题:结果正确:
JokerSZ.2 天前
fpga开发·cpu·数字逻辑
用V e r i l o g实现一个简单ALU(组合逻辑)实验名称:用V e r i l o g实现一个简单ALU。(组合逻辑)实验目的:学生通过用V e r i log实现简单的ALU,熟悉硬件描述语言Verilog的基本语法和ALU相关概念,为用Verilog实现CPU和计算机组成的学习打下基础。
作精本精2 天前
fpga开发
Xilinx FPGA:vivado实现串口的接收端补充一些串口里用到的数值的相关知识点接收端串口时序图:程序设计:仿真程序仿真波形
不会倒的鸡蛋3 天前
fpga开发
一文弄懂FPGAFPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,可以在现场通过硬件描述语言(HDL)进行配置。它具有高度的灵活性和并行处理能力,广泛应用于通信、计算、信号处理、嵌入式系统等领域。
晓晓暮雨潇潇3 天前
fpga开发·xilinx·bit加密
FPGA开发技能(7)Vivado设置bit文件加密在FPGA的项目发布的时候需要考虑项目工程加密的问题,一方面防止自己的心血被盗,另一方面也保护公司资产,保护知识产权。Xilinx的器件大概有两种加密方案,一种是本文介绍的AES加密算法,另一种则是利用multiboot配置和Device DNA,其大概是流程是,xilinx提供了读取Device DNA的原语,用户逻辑通过原语读取Device DNA然后与用户逻辑的加密模块做运算得到一个数字串,将该数字串与存放在Flash特定区域的密文做对比,如果一致证明该FPGA通过授权可以启动用户逻辑,如果失败则可
流浪蓝天3 天前
网络协议·fpga开发·udp
千兆以太网数据发送(二):IP、UDP检验和计算我们设计的以太网整个发送链路如下图所示,在千兆以太网数据发送(一):心跳帧的组建中已经实现了timer模块和gen_frame_ctrl模块,得到UDP的基本帧结构,但是检验和、CRC等字段都给的0。本文将在此基础上计算出IP检验和以及UDP校验和,进一步完善以太网数据包。
吾尹先森4 天前
经验分享·fpga开发·verilog
军用FPGA软件 Verilog语言的编码准测之三态缓冲器和运算符语言 :Verilg HDL EDA工具:ISE、Vivado、Quartus II本文学习军用可编程逻辑器件软件 Verilog 语言编程安全子集,标准准则分为强制准则和建议准则,强制准则在Verilog编程中应该遵循,建议准则在Verilog编程中可参考执行。本次分享 三态缓冲器和运算符的国军标verilog语言标准准则。
9527华安4 天前
网络·tcp/ip·fpga开发
FPGA无网络芯片实现千兆TCP/IP协议栈,基于1G/2.5G Ethernet PCS/PMA or SGMII方案,提供18套工程源码和技术支持没玩过TCP网络通信都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。目前网上fpga实现udp协议的源码满天飞,我这里也有不少,但用FPGA纯源码实现TCP的项目却很少,能上板调试跑通的项目更是少之又少,甚至可以说是凤毛菱角,但很不巧,本人这儿就有一个;一般而言,用FPGA实现TCP并不是一个经济的方案,但对于芯片原型验证、航天可靠通信、水下通信等一些数据传输可靠性极高的领域而言,FPGA实现TCP方案依然占有一席之地;FPGA做千兆网一般会使用网络芯片,比如RTL821
神仙约架5 天前
fpga开发·axi·amba·axi4·总线
【总线】AXI4第五课时:信号描述大家好,欢迎来到今天的总线学习时间!如果你对电子设计、特别是FPGA和SoC设计感兴趣,那你绝对不能错过我们今天的主角——AXI4总线。作为ARM公司AMBA总线家族中的佼佼者,AXI4以其高性能和高度可扩展性,成为了现代电子系统中不可或缺的通信桥梁。