Fsm serial

In many (older) serial communications protocols, each data byte is sent along with a start bit and a stop bit, to help the receiver delimit bytes from the stream of bits. One common scheme is to use one start bit (0), 8 data bits, and 1 stop bit (1). The line is also at logic 1 when nothing is being transmitted (idle).

Design a finite state machine that will identify when bytes have been correctly received when given a stream of bits. It needs to identify the start bit, wait for all 8 data bits, then verify that the stop bit was correct. If the stop bit does not appear when expected, the FSM must wait until it finds a stop bit before attempting to receive the next byte.

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output done
); 
    parameter IDLE = 3'b000,
    			START = 3'b001,
    			TRANS = 3'b011,
    			END = 3'b010,
    			ERR = 3'b110;
    
    reg [2:0] state, next_state;
    reg [3:0] cnt_data; 
    
    
    always@ (posedge clk)
        if(reset)
            cnt_data <= 4'b0;
    else if(next_state == START)
        cnt_data <= 4'b0;
    else if(next_state == TRANS)
        cnt_data <= cnt_data + 1'd1;
    else 
        cnt_data <= cnt_data;
    
    
    always@ (posedge clk)
        if(reset)
            state <= IDLE;
    	else 
            state <= next_state;
    
    always@ (posedge clk)
        if(reset)
            done <= 0;
    else if(next_state ==  END)
             done <= 1;
    else
    	done <= 0;
        
    always@ (*)
        case (state)
        	IDLE:
                if(~in)
                    next_state <= START;
            	else 
                    next_state <= IDLE;
            START: 
              next_state <= TRANS;
            TRANS: 
                if(cnt_data == 4'd8) begin
                    if(in)
                        next_state <= END;
                    else 
                        next_state <= ERR;
                   end
            	else 
                    next_state <= TRANS;
            END: 
                if(in)
                    next_state <= IDLE;
            	else 
                    next_state <= START;
            ERR:  
                if(in)
                        next_state <= IDLE;
                    else 
                        next_state <= ERR;
            default:
                next_state <= IDLE;
        endcase

endmodule
相关推荐
日晨难再5 天前
Verilog基础:$display系统函数和C语言中的库函数printf的区别
c语言·硬件工程·verilog·数字ic
日晨难再9 天前
Verilog基础:时序调度中的竞争(四)(描述时序逻辑时使用非阻塞赋值)
fpga开发·硬件工程·verilog·fpga·数字ic
FPGA狂飙11 天前
FPGA IP 和 开源 HDL 一般去哪找?
fpga开发·verilog·fpga·vivado·xilinx
小桶qa14 天前
握手传输 & 状态机序列检测(记忆科技笔试题)_2024年9月2日
verilog
看未来捏18 天前
【数字集成电路与系统设计】Chisel/Scala简介与Verilog介绍
scala·verilog·chisel
小桶qa19 天前
音频左右声道数据传输_2024年9月6日
音频·verilog
吉孟雷22 天前
ZYNQ FPGA自学笔记
fpga开发·verilog·led·仿真·vivado·zynq
热爱学习地派大星1 个月前
BRAM IP Native模式使用
fpga开发·ip·verilog·fpga·存储器·bram
FPGA狂飙1 个月前
1分钟 快速掌握 双向信号(inout信号)
fpga开发·verilog·fpga·xilinx
米联客(milianke)1 个月前
[米联客-XILINX-H3_CZ08_7100] FPGA程序设计基础实验连载-24 TPG图像测试数据发生器设计
fpga开发·verilog