(* MARK_DEBUG=“true“ *)

Verilog/SystemVerilog 中,(* MARK_DEBUG="true" *)Xilinx Vivado 工具链 使用的属性语法,用于调试目的

一、语法和作用

复制代码
(* MARK_DEBUG="true" *)
wire [7:0] my_signal;

(* MARK_DEBUG="true" *)
reg [31:0] counter;

二、主要功能

1. 标记需要调试的信号

  • 告诉 Vivado 在综合时保留这些信号的完整性

  • 防止优化器删除或重命名这些信号

2. 在 ILAs 中自动连接

复制代码
module my_design(
    input clk,
    input rst,
    output [7:0] data_out
);

(* MARK_DEBUG="true" *) wire [7:0] internal_data;
(* MARK_DEBUG="true" *) reg [3:0] state;

// 你的设计代码...

endmodule

三、使用方法

方法1:内联属性

复制代码
module debug_example(
    input clk,
    input [7:0] data_in,
    output [7:0] data_out
);

(* MARK_DEBUG="true" *) wire [7:0] processed_data;
(* MARK_DEBUG="true" *) reg [2:0] current_state;

assign processed_data = data_in + 1;
assign data_out = processed_data;

always @(posedge clk) begin
    current_state <= current_state + 1;
end

endmodule

方法2:在端口声明中使用

复制代码
module top (
    input clk,
    (* MARK_DEBUG="true" *) input [7:0] debug_input,
    (* MARK_DEBUG="true" *) output [15:0] debug_output
);

四、Vivado 中的操作流程

  1. 添加 MARK_DEBUG 属性

  2. 综合设计

  3. 在 Vivado 中设置调试

    • 打开 Synthesized Design

    • 选择 "Set Up Debug"

    • 标记的信号会自动出现在调试列表中

  4. 实现设计并生成比特流

  5. 使用 ILA 核进行硬件调试

五、注意事项

  • 仅适用于 Xilinx Vivado,其他工具链使用不同的语法

  • 信号必须保持不被过度优化

  • 调试会占用额外的 FPGA 资源(ILA 核、块RAM等)

  • 在正式发布版本中建议移除这些属性

六、替代语法

对于其他工具链:

复制代码
// Intel Quartus
(* preserve *) wire [7:0] my_signal;

// 通用 SystemVerilog
/* synthesis keep */ wire [7:0] my_signal;

这个属性在 FPGA 调试中非常有用,可以方便地在硬件层面观察内部信号的行为。

相关推荐
执笔论英雄3 小时前
Slime异步原理(单例设计模式)4
开发语言·python·设计模式
e***74955 小时前
Modbus报文详解
服务器·开发语言·php
lly2024065 小时前
ASP 发送电子邮件详解
开发语言
小徐敲java5 小时前
python使用s7协议与plc进行数据通讯(HslCommunication模拟)
开发语言·python
likuolei5 小时前
XSL-FO 软件
java·开发语言·前端·数据库
6***37945 小时前
PHP在电商中的BigCommerce
开发语言·php
Dev7z5 小时前
基于Matlab的多制式条形码识别与图形界面(GUI)系统设计与实现
开发语言·matlab
合作小小程序员小小店5 小时前
桌面开发,在线%信息管理%系统,基于vs2022,c#,winform,sql server数据。
开发语言·数据库·sql·microsoft·c#
FL16238631295 小时前
ONNX RuntimeC++ 静态库下载安装和使用教程
开发语言·c++
星释5 小时前
Rust 练习册 95:React与响应式编程
开发语言·react.js·rust