实验九 基于FPGA的计数译码显示电路设计

基本任务一:利用FPGA硬件平台上的4位数码管做静态显示,用SW0-3输入BCD码,用SW4-7控制数码管位选

m100:

frediv:

decoder:

基本任务二:利用FPGA硬件平台上的4位数码管显示m10技术结果

扩展任务一:对7448译码的6和9进行补段

扩展任务二:用按键控制计数器从0-9计数,4位数码管显示技术结果。

cnt10:

decoder69:

key_bounce:

frediv:

基本任务三:利用FPGA硬件平台上的6位数码管显示模100计数结果。

cnt6:

m100:

frediv:

decoder------69:

m100_2output:

dig_select:

code_select:

display_m100:

cnt6_add

相关推荐
武汉凯迪正大电气11 分钟前
武汉凯迪正大—变压器空负载特性参数测试仪 变压器容量及损耗参数测试仪
fpga开发
IM_DALLA15 分钟前
【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL16
学习·fpga开发
IM_DALLA16 分钟前
【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL18
学习·fpga开发
芯冰乐17 分钟前
综合时如何计算net delay?
后端·fpga开发
落雨无风2 小时前
quartus pin 分配(三)
fpga开发
cycf6 小时前
深入浅出通信原理
fpga开发·信息与通信
IM_DALLA1 天前
【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL21
学习·fpga开发
皇华ameya1 天前
AMEYA360:村田电子更适合薄型设计应用场景的3.3V输入、12A输出的DCDC转换IC
fpga开发
千穹凌帝1 天前
SpinalHDL之结构(二)
开发语言·前端·fpga开发
一口一口吃成大V1 天前
FPGA随记——FPGA时序优化小经验
fpga开发