FPGA 纯逻辑arinc818 ip core

1、 符合FC-FS、FC-AV、FC-ADVB协议规范;

2、符合ARINC818协议规范;

3、支持光纤通信Class1、Class3服务;

5、可动态配置光纤端口速率,支持1.0625Gbps、2.125Gbps、3.1875Gbps、4.25Gbps可配置;

6、DDR控制接口简洁,方便快速集成;

7、支持分辨率如下:

1、1920*1080@30Hz/60Hz

2、1680*1050@30Hz/60Hz

3、1600*1200@30Hz/60Hz

4、1440*900@30Hz/60Hz

5、1400*1050@30Hz/60Hz

6、1280*1024@30Hz/60Hz

7、1280*720@30Hz/60Hz

8、1280*960@30Hz/60Hz

9、 1024*768@30Hz/60Hz

10、 800*600@30Hz/60Hz

11、 640*480@30Hz/60Hz

可以根据客户定制开发,可交付RTL源码

此外我司可开发

1553b、fc-asm、fc-1553、fc-rdma

相关推荐
apple_ttt2 小时前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程3 小时前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt4 小时前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~6 小时前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA7 小时前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发
诚实可靠小郎君952714 小时前
FPGA IO延迟的约束与脚本
fpga开发·fpga·数字电路
GGGLF1 天前
FPGA-UART串口接收模块的理解
fpga开发
北京太速科技股份有限公司1 天前
太速科技-495-定制化仪器户外便携式手提触摸一体机
fpga开发
9527华安1 天前
FPGA实现PCIE图片采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·pcie·xdma·hdmi
水饺编程1 天前
简易CPU设计入门:取指令(三),ip_buf与rd_en的非阻塞赋值
fpga开发