notepad++ verilog关键字自动补全

新建verilog.xml放在安装目录下

D:\Program Files (x86)\Notepad++\autoCompletion

XML 复制代码
<?xml version="1.0" encoding="Windows-1252" ?>
<NotepadPlus>
	<AutoComplete>
        <KeyWord name="accept_on" />
        <KeyWord name="alias" />
        <KeyWord name="always" />
        <KeyWord name="always_comb" />
        <KeyWord name="always_ff" />
        <KeyWord name="always_latch" />
        <KeyWord name="and" />
        <KeyWord name="assert" />
        <KeyWord name="assign" />
        <KeyWord name="assume" />
        <KeyWord name="attribute" />
        <KeyWord name="automatic" />
        <KeyWord name="before" />
        <KeyWord name="begin" />
        <KeyWord name="bind" />
        <KeyWord name="bins" />
        <KeyWord name="binsof" />
        <KeyWord name="bit" />
        <KeyWord name="break" />
        <KeyWord name="buf" />
        <KeyWord name="bufif0" />
        <KeyWord name="bufif1" />
        <KeyWord name="byte" />
        <KeyWord name="case" />
        <KeyWord name="casex" />
        <KeyWord name="casez" />
        <KeyWord name="cell" />
        <KeyWord name="chandle" />
        <KeyWord name="checker" />
        <KeyWord name="class" />
        <KeyWord name="clocking" />
        <KeyWord name="cmos" />
        <KeyWord name="config" />
        <KeyWord name="const" />
        <KeyWord name="constraint" />
        <KeyWord name="context" />
        <KeyWord name="continue" />
        <KeyWord name="cover" />
        <KeyWord name="covergroup" />
        <KeyWord name="coverpoint" />
        <KeyWord name="cross" />
        <KeyWord name="deassign" />
        <KeyWord name="default" />
        <KeyWord name="defparam" />
        <KeyWord name="design" />
        <KeyWord name="disable" />
        <KeyWord name="dist" />
        <KeyWord name="do" />
        <KeyWord name="edge" />
        <KeyWord name="else" />
        <KeyWord name="end" />
        <KeyWord name="endattribute" />
        <KeyWord name="endcase" />
        <KeyWord name="endchecker" />
        <KeyWord name="endclass" />
        <KeyWord name="endclocking" />
        <KeyWord name="endconfig" />
        <KeyWord name="endfunction" />
        <KeyWord name="endgenerate" />
        <KeyWord name="endgroup" />
        <KeyWord name="endinterface" />
        <KeyWord name="endmodule" />
        <KeyWord name="endpackage" />
        <KeyWord name="endprimitive" />
        <KeyWord name="endprogram" />
        <KeyWord name="endproperty" />
        <KeyWord name="endsequence" />
        <KeyWord name="endspecify" />
        <KeyWord name="endtable" />
        <KeyWord name="endtask" />
        <KeyWord name="enum" />
        <KeyWord name="event" />
        <KeyWord name="eventually" />
        <KeyWord name="expect" />
        <KeyWord name="export" />
        <KeyWord name="extends" />
        <KeyWord name="extern" />
        <KeyWord name="final" />
        <KeyWord name="first_match" />
        <KeyWord name="for" />
        <KeyWord name="force" />
        <KeyWord name="foreach" />
        <KeyWord name="forever" />
        <KeyWord name="fork" />
        <KeyWord name="forkjoin" />
        <KeyWord name="function" />
        <KeyWord name="generate" />
        <KeyWord name="genvar" />
        <KeyWord name="global" />
        <KeyWord name="highz0" />
        <KeyWord name="highz1" />
        <KeyWord name="if" />
        <KeyWord name="iff" />
        <KeyWord name="ifnone" />
        <KeyWord name="ignore_bins" />
        <KeyWord name="illegal_bins" />
        <KeyWord name="implements" />
        <KeyWord name="implies" />
        <KeyWord name="import" />
        <KeyWord name="incdir" />
        <KeyWord name="include" />
        <KeyWord name="initial" />
        <KeyWord name="inout" />
        <KeyWord name="input" />
        <KeyWord name="inside" />
        <KeyWord name="instance" />
        <KeyWord name="int" />
        <KeyWord name="integer" />
        <KeyWord name="interconnect" />
        <KeyWord name="interface" />
        <KeyWord name="intersect" />
        <KeyWord name="join" />
        <KeyWord name="join_any" />
        <KeyWord name="join_none" />
        <KeyWord name="large" />
        <KeyWord name="let" />
        <KeyWord name="liblist" />
        <KeyWord name="library" />
        <KeyWord name="local" />
        <KeyWord name="localparam" />
        <KeyWord name="logic" />
        <KeyWord name="longint" />
        <KeyWord name="macromodule" />
        <KeyWord name="matches" />
        <KeyWord name="medium" />
        <KeyWord name="modport" />
        <KeyWord name="module" />
        <KeyWord name="nand" />
        <KeyWord name="negedge" />
        <KeyWord name="nettype" />
        <KeyWord name="new" />
        <KeyWord name="nexttime" />
        <KeyWord name="nmos" />
        <KeyWord name="nor" />
        <KeyWord name="noshowcancelled" />
        <KeyWord name="not" />
        <KeyWord name="notif0" />
        <KeyWord name="notif1" />
        <KeyWord name="null" />
        <KeyWord name="or" />
        <KeyWord name="output" />
        <KeyWord name="package" />
        <KeyWord name="packed" />
        <KeyWord name="parameter" />
        <KeyWord name="pmos" />
        <KeyWord name="posedge" />
        <KeyWord name="primitive" />
        <KeyWord name="priority" />
        <KeyWord name="program" />
        <KeyWord name="property" />
        <KeyWord name="protected" />
        <KeyWord name="pull0" />
        <KeyWord name="pull1" />
        <KeyWord name="pulldown" />
        <KeyWord name="pullup" />
        <KeyWord name="pulsestyle_ondetect" />
        <KeyWord name="pulsestyle_onevent" />
        <KeyWord name="pure" />
        <KeyWord name="rand" />
        <KeyWord name="randc" />
        <KeyWord name="randcase" />
        <KeyWord name="randsequence" />
        <KeyWord name="rcmos" />
        <KeyWord name="real" />
        <KeyWord name="realtime" />
        <KeyWord name="ref" />
        <KeyWord name="reg" />
        <KeyWord name="reject_on" />
        <KeyWord name="release" />
        <KeyWord name="repeat" />
        <KeyWord name="restrict" />
        <KeyWord name="return" />
        <KeyWord name="rnmos" />
        <KeyWord name="rpmos" />
        <KeyWord name="rtran" />
        <KeyWord name="rtranif0" />
        <KeyWord name="rtranif1" />
        <KeyWord name="scalared" />
        <KeyWord name="sequence" />
        <KeyWord name="shortint" />
        <KeyWord name="shortreal" />
        <KeyWord name="showcancelled" />
        <KeyWord name="signed" />
        <KeyWord name="small" />
        <KeyWord name="soft" />
        <KeyWord name="solve" />
        <KeyWord name="specify" />
        <KeyWord name="specparam" />
        <KeyWord name="static" />
        <KeyWord name="string" />
        <KeyWord name="strong" />
        <KeyWord name="strong0" />
        <KeyWord name="strong1" />
        <KeyWord name="struct" />
        <KeyWord name="super" />
        <KeyWord name="supply0" />
        <KeyWord name="supply1" />
        <KeyWord name="sync_accept_on" />
        <KeyWord name="sync_reject_on" />
        <KeyWord name="s_always" />
        <KeyWord name="s_eventually" />
        <KeyWord name="s_nexttime" />
        <KeyWord name="s_until" />
        <KeyWord name="s_until_with" />
        <KeyWord name="table" />
        <KeyWord name="tagged" />
        <KeyWord name="task" />
        <KeyWord name="this" />
        <KeyWord name="throughout" />
        <KeyWord name="time" />
        <KeyWord name="timeprecision" />
        <KeyWord name="timeunit" />
        <KeyWord name="tran" />
        <KeyWord name="tranif0" />
        <KeyWord name="tranif1" />
        <KeyWord name="tri" />
        <KeyWord name="tri0" />
        <KeyWord name="tri1" />
        <KeyWord name="triand" />
        <KeyWord name="trior" />
        <KeyWord name="trireg" />
        <KeyWord name="type" />
        <KeyWord name="typedef" />
        <KeyWord name="union" />
        <KeyWord name="unique" />
        <KeyWord name="unique0" />
        <KeyWord name="unsigned" />
        <KeyWord name="until" />
        <KeyWord name="until_with" />
        <KeyWord name="untyped" />
        <KeyWord name="use" />
        <KeyWord name="var" />
        <KeyWord name="vectored" />
        <KeyWord name="virtual" />
        <KeyWord name="void" />
        <KeyWord name="wait" />
        <KeyWord name="wait_order" />
        <KeyWord name="wand" />
        <KeyWord name="weak" />
        <KeyWord name="weak0" />
        <KeyWord name="weak1" />
        <KeyWord name="while" />
        <KeyWord name="wildcard" />
        <KeyWord name="wire" />
        <KeyWord name="with" />
        <KeyWord name="within" />
        <KeyWord name="wor" />
        <KeyWord name="xnor" />
        <KeyWord name="xor" />
	</AutoComplete>
</NotepadPlus>
相关推荐
山语山3 天前
微信小程序 蓝牙通讯
网络·微信小程序·小程序·github·notepad++
程序手艺人5 天前
Notepad++ 之 AndroidLogger插件
notepad++
迷雾yx5 天前
开发微信小程序 案例01-本地生活首页页面
微信小程序·生活·notepad++·xss
灯火不休ᝰ5 天前
[Notepad++] 文本编辑器的下载及详细安装使用过程(附有下载文件)
notepad++
无敌开心7 天前
微信小程序开发第八课
微信小程序·小程序·notepad++
diygwcom7 天前
扩展uview复选组件库支持自定义图片+自定义内容
notepad++
战神刘玉栋8 天前
《微信小程序实战(4) · 地图导航功能》
微信小程序·小程序·notepad++
前端 贾公子8 天前
微信小程序的 button 标签的边框如何去除?
微信小程序·小程序·notepad++
李宥小哥10 天前
微信小程序03-页面交互
微信小程序·交互·notepad++
CC同学呀12 天前
基于微信小程序的竞赛答题小程序开发笔记(一)
笔记·微信小程序·notepad++