「Verilog学习笔记」含有无关项的序列检测

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);

	reg [8:0] a_tem ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) match <= 1'b0 ; 
		else if ((a_tem[8:6] == 3'b011) && (a_tem[2:0] == 3'b110)) match <= 1'b1 ; 
		else match <= 1'b0 ;
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) a_tem <= 9'b0 ; 
		else a_tem <= {a_tem[7:0], a} ; 
	end
  
endmodule
相关推荐
小A1592 分钟前
STM32完全学习——SPI接口的FLASH(DMA模式)
stm32·嵌入式硬件·学习
亦枫Leonlew9 分钟前
微积分复习笔记 Calculus Volume 2 - 5.1 Sequences
笔记·数学·微积分
岁岁岁平安24 分钟前
spring学习(spring-DI(字符串或对象引用注入、集合注入)(XML配置))
java·学习·spring·依赖注入·集合注入·基本数据类型注入·引用数据类型注入
武昌库里写JAVA28 分钟前
Java成长之路(一)--SpringBoot基础学习--SpringBoot代码测试
java·开发语言·spring boot·学习·课程设计
qq_5895681033 分钟前
数据可视化echarts学习笔记
学习·信息可视化·echarts
爱码小白42 分钟前
网络编程(王铭东老师)笔记
服务器·网络·笔记
LuH11241 小时前
【论文阅读笔记】Learning to sample
论文阅读·笔记·图形渲染·点云
兔C1 小时前
微信小程序的轮播图学习报告
学习·微信小程序·小程序
海海不掉头发2 小时前
苍穹外卖-day05redis 缓存的学习
学习·缓存
小木_.2 小时前
【Python 图片下载器】一款专门为爬虫制作的图片下载器,多线程下载,速度快,支持续传/图片缩放/图片压缩/图片转换
爬虫·python·学习·分享·批量下载·图片下载器