「Verilog学习笔记」含有无关项的序列检测

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);

	reg [8:0] a_tem ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) match <= 1'b0 ; 
		else if ((a_tem[8:6] == 3'b011) && (a_tem[2:0] == 3'b110)) match <= 1'b1 ; 
		else match <= 1'b0 ;
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) a_tem <= 9'b0 ; 
		else a_tem <= {a_tem[7:0], a} ; 
	end
  
endmodule
相关推荐
Fantasydg6 分钟前
JSP学习
java·开发语言·学习
菩提树下的凡夫23 分钟前
Node.js+Vue的学习笔记
笔记·学习·node.js
蒙奇D索大40 分钟前
【计算机网络】考研408 | 数据链路层的“安全卫士”:探秘检错编码之奇偶校验码
经验分享·笔记·计算机网络·考研·改行学it
雾岛听风眠43 分钟前
光耦学习笔记
笔记·学习
代码AC不AC2 小时前
【C++】异常
c++·学习·异常
Cristiano777.3 小时前
周学习记录
学习
Vince丶3 小时前
“伪”局域网
笔记
johnny2334 小时前
编辑器和笔记软件汇总(三):NotebookLM、note-gen、MiaoYan、LetsMarkdown、DocFlow
笔记
py有趣5 小时前
LeetCode算法学习之鸡蛋掉落
学习·算法·leetcode
虫洞没有虫5 小时前
Go语言学习笔记(一)
笔记·go·区块链