「Verilog学习笔记」整数倍数据位宽转换8to16

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

根据时序图,数据是在第二个数据到来之后输出,当仅有一个数据到来时,不产生输出,所以内部需要一个指示信号valid_cnt,用来指示数据缓存状态。当data_lock内已缓存第一个数据时,valid_cnt拉高,当第二个数据到来后valid_cnt拉低

复制代码
`timescale 1ns/1ns

module width_8to16(
	input 				   clk 		,   
	input 				   rst_n		,
	input				      valid_in	,
	input	   [7:0]		   data_in	,
 
 	output	reg			valid_out,
	output   reg [15:0]	data_out
);
	reg [7:0] data_lock ; 
	reg valid_cnt ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) valid_cnt <= 'd0 ; 
		else if (valid_in) valid_cnt <= ~valid_cnt ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) data_lock <= 'd0 ; 
		else if (valid_in && !valid_cnt) data_lock <= data_in ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) valid_out <= 'd0 ; 
		else if (valid_in && valid_cnt == 1'd1) valid_out <= 1'd1 ; 
		else valid_out <= 'd0 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) data_out <= 'd0 ; 
		else if (valid_in && valid_cnt == 1'd1) data_out <= {data_lock, data_in} ; 
	end

endmodule
相关推荐
苜柠15 分钟前
Shell脚本的学习
学习
Mountain and sea1 小时前
西门子S7-1500与S7-200SMART通讯全攻略:从基础配置到远程IO集成
学习
Kx…………5 小时前
Day2:前端项目uniapp壁纸实战
前端·学习·uni-app·实战·项目
Better Rose5 小时前
蓝桥杯备赛学习笔记:高频考点与真题预测(C++/Java/python版)
笔记·学习·蓝桥杯
郭涤生6 小时前
第八章:流量治理_《凤凰架构:构建可靠的大型分布式系统》
笔记·架构·系统架构
浪淘沙jkp6 小时前
大模型学习七:‌小米8闲置,直接安装ubuntu,并安装VNC远程连接手机,使劲造
服务器·学习·ubuntu·deepseek
丰锋ff7 小时前
考研单词笔记 2025.04.09
笔记
青椒大仙KI117 小时前
25/4/6 算法笔记<仿真O2DES>基础知识学习
笔记·学习·算法
cornerOfHeart7 小时前
Kotlin学习
学习
小灿同学啊8 小时前
VSCode解决中文乱码方法
ide·经验分享·笔记·vscode·编辑器