Verilog简明语法:Verilog语法总结

Verilog语法总结

1,典型的Verilog模块结构

cpp 复制代码
//	1,典型的	Verilog模块结构
module	M(P1, P2, P3, P4);
input			P1, P2;
output	[7:0]	P3;
inout			P4;

reg		[7:0]	R1, M1 [1:1024];
wire	W1, W2, W3, W4;

parameter		C1 = "This is a string";
initial		
begin : 块名
		//	声明语句

end

always@(触发事件)
begin
//	声明语句


end

//	连续赋值语句
assign	W1 = Expression;

wire	(Strong1, Weak0)	[3:0]	#(2, 3)	W2 = Expression;	//	???

//	模块实例引用
COMP	U1(W3,	W4);
COMP	U2(.P1(W3),		.P2(W4));

task	T1;				//	任务定义
	input	A1;
	inout	A2;
	output	A3;
		begin
				//	声明语句
		end
endtask

function	[7:0]	F1;		//	函数定义
	input	A1;
		begin
							//	声明语句
			F1 = 表达式;
		end

endfunction

endmodule	//	模块结束

2,声明语句

cpp 复制代码
//	2,声明语句
#delay
wait(Expression)
@(A or B or C)
@(posedge	Clk)
	Reg	 	=  Expression;
	Reg		<= Expression;

VectorReg[Bit]		= Expression;
VectorReg[MSB:LSB]	= Expression;
Memory[Address]		= Expression;

assign	Reg		= Expression;
deassign	Reg;

TaskEnable(...),
disable		TaskOrBlock;
EventName;

if(Condition)
...
else if(Condition)
...
else
...

case(Selection)
Choice1:
...

Choice2, Choice3:
...

default:
...

endcase

for(I = 0; I < MAX; I = I + 1)
...
	repeat(8)
...

while(Condition)
...

forever
...

3,Verilog语法总结的价值

上面简要语法总结可供读者快速查找,应注意其语法表示方法与本手册中其它地方的不同。

相关推荐
apple_ttt16 小时前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程18 小时前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt19 小时前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~21 小时前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA21 小时前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发
诚实可靠小郎君95271 天前
FPGA IO延迟的约束与脚本
fpga开发·fpga·数字电路
GGGLF2 天前
FPGA-UART串口接收模块的理解
fpga开发
北京太速科技股份有限公司2 天前
太速科技-495-定制化仪器户外便携式手提触摸一体机
fpga开发
9527华安2 天前
FPGA实现PCIE图片采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·pcie·xdma·hdmi
水饺编程2 天前
简易CPU设计入门:取指令(三),ip_buf与rd_en的非阻塞赋值
fpga开发