「Verilog学习笔记」可置位计数器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input set,
	input [3:0] set_num,
	output reg [3:0]number,
	output reg zero
	);
	
	reg [3:0] cnt ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) cnt <= 0 ; 
		else cnt <= set ? set_num : cnt + 1 ; 
	end

	always @ (*) begin 
		if (~rst_n) zero <= 0 ; 
		else zero <= number == 0 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) number <= 0 ; 
		else number <= cnt ;
	end

endmodule
相关推荐
零匠学堂202517 分钟前
如何通过培训考试系统提升网络学习平台的效果?
学习
f***241121 分钟前
java学习进阶之路,如果从一个菜鸟进阶成大神
java·开发语言·学习
后端小张41 分钟前
【AI 学习】从0到1深入理解Agent AI智能体:理论与实践融合指南
人工智能·学习·搜索引擎·ai·agent·agi·ai agent
九年义务漏网鲨鱼1 小时前
【大模型学习】现代大模型架构(二):旋转位置编码和SwiGLU
深度学习·学习·大模型·智能体
_Kayo_1 小时前
vue3 computed 练习笔记
前端·vue.js·笔记
TracyCoder1231 小时前
微服务框架选型学习笔记
笔记·学习·微服务
摇滚侠1 小时前
2025最新 SpringCloud 教程,Nacos-注册中心 @LoadBalanced 注解式负载均衡,笔记11
笔记·spring cloud·负载均衡
pq113_61 小时前
开源软件学习笔记 - 移植SFUD
笔记·stm32·sfud·ft4222h
专注于大数据技术栈1 小时前
java学习--单例模式之懒汉式
java·学习·单例模式
hd51cc1 小时前
MFC多线程学习笔记一:用户界面线程和工作线程
笔记·学习·mfc