「Verilog学习笔记」可置位计数器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input set,
	input [3:0] set_num,
	output reg [3:0]number,
	output reg zero
	);
	
	reg [3:0] cnt ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) cnt <= 0 ; 
		else cnt <= set ? set_num : cnt + 1 ; 
	end

	always @ (*) begin 
		if (~rst_n) zero <= 0 ; 
		else zero <= number == 0 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) number <= 0 ; 
		else number <= cnt ;
	end

endmodule
相关推荐
Willliam_william3 分钟前
SVA学习之路(1)— SystemVerilog Assertion简介与实战
学习
im_AMBER12 分钟前
Leetcode 77 数组中的最大数对和 | 统计坏数对的数目
笔记·学习·算法·leetcode
代码游侠17 分钟前
学习笔记——Linux 进程管理笔记
linux·运维·笔记·学习·算法
解局易否结局19 分钟前
GitCode口袋工具开发学习
学习·gitcode
逐辰十七20 分钟前
freertos学习笔记12--个人自用-第18章 资源管理(Resource Management)
笔记·学习
点云SLAM21 分钟前
Redundant 英文单词学习
人工智能·学习·英文单词学习·雅思备考·redundant·冗余的·多余的 、重复的
眠晚晚26 分钟前
src挖洞笔记分享_上
服务器·网络·笔记
phantom_11134 分钟前
BeeAI 框架学习记录
学习·ai
德彪稳坐倒骑驴40 分钟前
SQL刷题笔记-我没做出来的题目
数据库·笔记·sql
lingggggaaaa40 分钟前
CS配合CrossC2插件,实现MacOS/Linux上线
linux·运维·笔记·安全·macos