15 ABC基于状态机的按键消抖原理与状态转移图

1. 基于状态机的按键消抖

1.1 什么是按键?

从按键结构图10-1可知,按键按下时,接点(端子)与导线接通,松开时,由于弹簧的反作用力,接点(端子)与导线断开。

从原理图10-2可知,按键按下时为低电平,未按下为高电平

1.2 为什么要消抖?

1.3 基于按键消抖的状态转移图

2. 写设计代码,仿真代码并仿真(未使用随机函数的测试)

  1. 设计代码
cpp 复制代码
module key_filter(
    clk,
    rstn,
    key,
//    key_p_flag,
//    key_r_flag,
    key_flag,
    key_state
);
    
    input clk;
    input rstn;
    input key;
//   output reg key_p_flag;
//   output reg key_r_flag;
    output reg key_flag;
    output reg key_state;
    
    //边沿检测
    reg [1:0] r_key;
    always@(posedge clk)
        r_key <= {r_key[0], key}; 
//    reg [1:0] r_key;    
//    always@(posedge clk)begin
//        r_key[0] <= key;
//        r_key[1] <= r_key[0]; 
//    end
    wire nedge_key;
    wire pedge_key;
    assign nedge_key = (r_key == 2'b10);
    assign pedge_key = (r_key == 2'b01);
    
    
    reg [1:0]state;
    reg [19:0] cnt;
    always@(posedge clk or negedge rstn)
    if(!rstn)begin
        state <= 0;
        cnt <= 0;
//        key_p_flag <= 0;
//        key_r_flag <= 0;
        key_flag <= 0;
        key_state <= 1;
    end
    else
    case(state)
        0: 
        begin
//            key_r_flag <= 0;
            key_flag <= 0;
            if(nedge_key == 1) begin
                state <= 1;
            end
            else
                state <= 0;
        end 
        
        1:
        begin
            if((pedge_key == 1) && (cnt < 1000000 - 1))begin
                state <= 0;
                cnt <= 0;
            end
            else if((pedge_key == 0) && (cnt >= 1000000 - 1))begin
                state <= 2;
//               key_p_flag <= 1'd1;
                key_flag <= 1'd1;
                key_state <= 0;
                cnt <= 0;
            end
            else
                cnt <= cnt + 1'd1;
        end
        
        2:
        begin
//           key_p_flag <= 0;
            key_flag <= 0;
            if(pedge_key == 1)
                state <= 3;
            else
                state <= 2;
        end
        
        3:
        begin
            if((nedge_key == 1) && (cnt < 1000000 - 1))begin
                state <= 2;
                cnt <= 0;
            end
            else if((nedge_key == 0) && (cnt >= 1000000 - 1))begin
                state <= 0;
//                key_r_flag <= 1;
                key_flag <= 1'd1;
                key_state <= 1;
                cnt <= 0;
            end
            else
                cnt <= cnt + 1'd1;
         end
        
    endcase
    
endmodule
  1. 仿真代码
cpp 复制代码
`timescale 1ns / 1ps

module key_filter_tb();
    
    reg clk;
    reg rstn;
    reg key;
//    wire key_p_flag;
//    wire key_r_flag;
    wire key_flag;
    wire key_state;
    
    key_filter key_filter_inst(
        .clk(clk),
        .rstn(rstn),
        .key(key),
//        .key_p_flag(key_p_flag),
//       .key_r_flag(key_r_flag),
        .key_flag(key_flag),
        .key_state(key_state)
    );
    
    initial clk = 1;
    always #10 clk = ~clk;
    
    initial begin
        rstn = 0;
        key = 1;
        #201;
        rstn = 1;
        #200;
        key = 1;
        #50000000;
        key = 0;
        #30000;
        key = 1;
        #30000;
        key = 0;
        #30000;
        key = 1;
        #30000;
        key = 0;
        #50000000;
        key = 1;
        #30000;
        key = 0;
        #30000;
        key = 1;
         #30000;
        key = 0;
        #30000;
        key = 1;
        #50000000;
        $stop;
    end



endmodule
  1. 仿真波形

3. 基于verilog系统函数random的随机测试下的按键抖动(tb编写语法)

通过系统函数random产生一个随机的延迟值,来模拟真实情况下的延迟。

3.1 系统函数random的两个例子:

  1. 产生一个[-(b+1): (b-1)]的随机数:$random% b;

2.产生一个[0: b-1]的随机数:{$random}% b;;

修改后的仿真代码:

cpp 复制代码
`timescale 1ns / 1ps

module key_filter_tb();
    
    reg clk;
    reg rstn;
    reg key;
//    wire key_p_flag;
//    wire key_r_flag;
    wire key_flag;
    wire key_state;
    
    key_filter key_filter_inst(
        .clk(clk),
        .rstn(rstn),
        .key(key),
//        .key_p_flag(key_p_flag),
//       .key_r_flag(key_r_flag),
        .key_flag(key_flag),
        .key_state(key_state)
    );
    
    initial clk = 1;
    always #10 clk = ~clk;
    
    reg [19:0] rand;
    initial begin
        rstn = 0;
        key = 1;
        #201;
        rstn = 1;
        #200;
        press_key(1);
        $stop;
    end
    
    task press_key;
        input [2:0] seed;
        begin
            key = 1;
            #20000000; 
            repeat(5) begin
                rand = {$random(seed)} % 9999999; //产生0到9999999ns的延迟
                #rand key = ~key;
            end
            key = 0;
            #40000000;
            repeat(5) begin
                rand = {$random(seed)} % 9999999; //产生0到9999999ns的延迟
                #rand key = ~key;
            end
            key = 1;
            #40000000;
        end
    endtask



endmodule

4. 调试(产生多余38ns的原因)

相关推荐
AI算法工程师Moxi3 小时前
什么时候可以开始学习深度学习?
人工智能·深度学习·学习
jiedaodezhuti4 小时前
ElasticSearch重启之后shard未分配问题的解决
笔记·elasticsearch
z人间防沉迷k5 小时前
堆(Heap)
开发语言·数据结构·笔记·python·算法
z542968z5 小时前
Springboot3自定义starter笔记
笔记
丰锋ff6 小时前
操作系统学习笔记第3章 内存管理(灰灰题库)
笔记·学习
zly88653727 小时前
MMIO机制详解
fpga开发
jackson凌7 小时前
【Java学习笔记】equals方法
java·笔记·学习
虾球xz7 小时前
游戏引擎学习第282天:Z轴移动与摄像机运动
c++·学习·游戏引擎
.小墨迹7 小时前
Apollo学习——planning模块(3)之planning_base
linux·开发语言·c++·学习·自动驾驶
龙湾开发7 小时前
计算机图形学编程(使用OpenGL和C++)(第2版)学习笔记 10.增强表面细节(一)过程式凹凸贴图
c++·笔记·学习·3d·图形渲染