`timescale 1ns/1ns
module sequence_generator(
input clk,
input rst_n,
output reg data
);
reg [5:0] seq_dat;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) begin
seq_dat <= 6'b001_011;
end else begin
seq_dat <= {seq_dat[4:0],seq_dat[5]};
end
end
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) begin
data <= 1'b0;
end else begin
data <= seq_dat[5];
end
end
endmodule