序列发生器

  • 一开始想直接FSM,划分出6状态依次输出对应的。
  • 但其实只要6比特的移位寄存器,每次输出高位。复位后的默认值时6'b001_011。这样就可以实现循环,这种移位寄存器也叫barrel_shifter。循环移位。
  • 也可以使用循环计数器,然后case计数器输出对应的比特流。本质和FSM一样。
  • 所以循环左移和循环寄存器主要体现思想是循环,然后循环到哪个阶段输出哪个比特。
verilog 复制代码
`timescale 1ns/1ns

module sequence_generator(
	input clk,
	input rst_n,
	output reg data
	);

reg [5:0] seq_dat;

always @(posedge clk or negedge rst_n)
begin 
	if(!rst_n) begin 
		seq_dat	<=	6'b001_011;
	end else begin 
		seq_dat <= {seq_dat[4:0],seq_dat[5]};
	end 
end 

always @(posedge clk or negedge rst_n) 
begin 
	if(!rst_n) begin 
		data <= 1'b0;
	end else begin 
		data <= seq_dat[5];
	end 
end 
	
endmodule
相关推荐
QxQ么么2 小时前
移远通信(桂林)26校招-助理AI算法工程师-面试纪录
人工智能·python·算法·面试
Mz12214 小时前
day05 移动零、盛水最多的容器、三数之和
数据结构·算法·leetcode
SoleMotive.4 小时前
如果用户反映页面跳转得非常慢,该如何排查
jvm·数据库·redis·算法·缓存
念越5 小时前
判断两棵二叉树是否相同(力扣)
算法·leetcode·入门
MarkHD5 小时前
车辆TBOX科普 第38次 从移植到设备树配置与字符设备驱动
硬件架构
ghie90906 小时前
线性三角波连续调频毫米波雷达目标识别
人工智能·算法·计算机视觉
却话巴山夜雨时i6 小时前
74. 搜索二维矩阵【中等】
数据结构·算法·矩阵
sin_hielo6 小时前
leetcode 3512
数据结构·算法·leetcode
_F_y6 小时前
二分:二分查找、在排序数组中查找元素的第一个和最后一个位置、搜索插入位置、x 的平方根
c++·算法