序列发生器

  • 一开始想直接FSM,划分出6状态依次输出对应的。
  • 但其实只要6比特的移位寄存器,每次输出高位。复位后的默认值时6'b001_011。这样就可以实现循环,这种移位寄存器也叫barrel_shifter。循环移位。
  • 也可以使用循环计数器,然后case计数器输出对应的比特流。本质和FSM一样。
  • 所以循环左移和循环寄存器主要体现思想是循环,然后循环到哪个阶段输出哪个比特。
verilog 复制代码
`timescale 1ns/1ns

module sequence_generator(
	input clk,
	input rst_n,
	output reg data
	);

reg [5:0] seq_dat;

always @(posedge clk or negedge rst_n)
begin 
	if(!rst_n) begin 
		seq_dat	<=	6'b001_011;
	end else begin 
		seq_dat <= {seq_dat[4:0],seq_dat[5]};
	end 
end 

always @(posedge clk or negedge rst_n) 
begin 
	if(!rst_n) begin 
		data <= 1'b0;
	end else begin 
		data <= seq_dat[5];
	end 
end 
	
endmodule
相关推荐
CoovallyAIHub19 小时前
中科大DSAI Lab团队多篇论文入选ICCV 2025,推动三维视觉与泛化感知技术突破
深度学习·算法·计算机视觉
NAGNIP20 小时前
Serverless 架构下的大模型框架落地实践
算法·架构
moonlifesudo20 小时前
半开区间和开区间的两个二分模版
算法
moonlifesudo20 小时前
300:最长递增子序列
算法
CoovallyAIHub1 天前
港大&字节重磅发布DanceGRPO:突破视觉生成RLHF瓶颈,多项任务性能提升超180%!
深度学习·算法·计算机视觉
CoovallyAIHub1 天前
英伟达ViPE重磅发布!解决3D感知难题,SLAM+深度学习完美融合(附带数据集下载地址)
深度学习·算法·计算机视觉
聚客AI2 天前
🙋‍♀️Transformer训练与推理全流程:从输入处理到输出生成
人工智能·算法·llm
大怪v2 天前
前端:人工智能?我也会啊!来个花活,😎😎😎“自动驾驶”整起!
前端·javascript·算法
惯导马工2 天前
【论文导读】ORB-SLAM3:An Accurate Open-Source Library for Visual, Visual-Inertial and
深度学习·算法
骑自行车的码农2 天前
【React用到的一些算法】游标和栈
算法·react.js