FPGA问题

fpga 问题

第一道坎,安装软件;没有注册,无法产生sop文件,无法下载

没有相应的库的quartus ii版本,需要另下载

第二道坎,模拟器的下载,安装;

第三道,verilog 语法,测试

安装quatus ii

先择版本 13.0 带有 cyclon I ii iii 等 而不是15,不带cyclone ii 库

Quartus II 13.0 添加器件库教程(包含下载地址)_quartus ii 怎么添加芯片-CSDN博客

modelsim 仿真 可以使用下面的(不需安装quartus 15)

https://blog.csdn.net/weixin_59970269/article/details/132796626

usb blaster安装

usb blaster 驱动安装(安装完quatus ii后 文件内有个driver,用这个装)

jtag的连接,下载

需要连接电源线,jtag线;(*需关闭电源后接Jtag;不可以单一jtag线

quatus ii的安装 注册 问题

调试问题

设置调试软件 调试工具

下载文件

安装调试包

新建工程

可以直接next 后续再设置

添加verilog 文件

输入代码,并保存

执行编译 上方2

将 a,b,c,d的映射到相应的芯片引脚上去,并设置没有使用到的引脚 pin planner( assignments -> pin planner

调试文件建立

建立的测试文件在新建工程所在目录的simulate下 *.vt文件

仿真测试的设置

选择第1步安装的sim安装位置( 最后的\可能很重要

仿真:

WORK->

参考教程:

FPGA课程实验报告(使用Quartus Ⅱ&Verilog语言开发)_fpga实验报告-CSDN博客

https://blog.csdn.net/haojie_duan/article/details/109542510?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522171996882816800185827477%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fblog.%2522%257D\&request_id=171996882816800185827477\&biz_id=0\&utm_medium=distribute.pc_search_result.none-task-blog-2\~blog\~first_rank_ecpm_v1\~rank_v31_ecpm-2-109542510-null-null.nonecase\&utm_term=fpga EP2C5T144CBN\&spm=1018.2226.3001.4450

AS烧写

Altera FPGA Quartus II 11 AS下载 EPCS器件方法-CSDN博客

B站视频

waveshare 微雪 ep2c (在停产处)

正点原子

野火

百度文库

testbench的编写

仿真调试

module 仿真的各种问题

https://blog.csdn.net/weixin_46838545/article/details/135182668

https://blog.csdn.net/FY11111111/

https://blog.csdn.net/yul13579/article/details/121659369?app_version=6.0.0\&csdn_share_tail={"type"%3A"blog"%2C"rType"%3A"article"%2C"rId"%3A"121659369"%2C"source"%3A"qq_39239990"}\&utm_source=app

现在技术,资料挺多的,问题应该在网上可以

相关推荐
li星野1 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安1 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠2 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt3 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian6 小时前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt1 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程1 天前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt1 天前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~1 天前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA1 天前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发