vcs+verdi搭建基础仿真的版本V1

2024-7-20

数字软件安装,仿真环境测试

dut重新修改

makefile重新修改

verdi整合完成

dut.v

复制代码
module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

复制代码
all:comp run
comp:
	vcs -full64 +v2k -sverilog   dut.v \
	-timescale=1ns/1ps \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
	-debug_acc+all
run:
	./simv
verdi:
	verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
	rm -rf simv*
	rm -rf *.vpd
	rm -rf *.key
	rm -rf csrc

仿真结果

相关推荐
apcipot_rain2 小时前
汇编语言程序设计 从0到1实战笔记
笔记
周小码2 小时前
我用一个周末,写了一个“反内卷“的极简笔记工具
笔记
惜.己2 小时前
前端笔记(三)
前端·笔记
charlie1145141912 小时前
如何把 Win32 窗口“置顶”(Windows + C++)
开发语言·c++·windows·笔记·学习·软件工程
北岛寒沫3 小时前
北京大学国家发展研究院 经济学辅修 经济学原理课程笔记(第八课 外部性)
经验分享·笔记
雍凉明月夜4 小时前
c++ 精学笔记记录Ⅲ
c++·笔记·学习
wxh_无香花自开4 小时前
Linux 笔记:rpm命令
linux·运维·笔记·rpm
li星野4 小时前
打工人日报#20251218
笔记
阿蒙Amon4 小时前
JavaScript学习笔记:17.闭包
javascript·笔记·学习
想不出名字ಥ_ಥ4 小时前
STM32学习笔记--01学会如何点亮一颗LED灯
笔记·stm32·学习