vcs+verdi搭建基础仿真的版本V1

2024-7-20

数字软件安装,仿真环境测试

dut重新修改

makefile重新修改

verdi整合完成

dut.v

复制代码
module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

复制代码
all:comp run
comp:
	vcs -full64 +v2k -sverilog   dut.v \
	-timescale=1ns/1ps \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
	-debug_acc+all
run:
	./simv
verdi:
	verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
	rm -rf simv*
	rm -rf *.vpd
	rm -rf *.key
	rm -rf csrc

仿真结果

相关推荐
liuaa4114 分钟前
期刊论文笔记
笔记
HXR_plume28 分钟前
【Web信息处理与应用课程笔记3】个性化检索(上)
笔记
Cathy Bryant3 小时前
傅里叶变换(一):简介
笔记·算法·数学建模·信息与通信·傅里叶分析
wdfk_prog4 小时前
[Linux]学习笔记系列 -- [fs]fs-writeback
linux·笔记·学习
charlie1145141914 小时前
嵌入式现代C++教程:C++98——从C向C++的演化(3)
c语言·开发语言·c++·笔记·学习·嵌入式
RanceGru4 小时前
LLM学习笔记8——多模态CLIP、ViLT、ALBEF、VLMo、BLIP
笔记·学习
中屹指纹浏览器4 小时前
动态IP场景下指纹浏览器的实时协同适配技术研究与实现
经验分享·笔记
2501_941148155 小时前
从边缘节点到云端协同的分布式缓存一致性实现原理实践解析与多语言代码示例分享笔记集录稿
笔记·分布式·物联网·缓存
AI视觉网奇5 小时前
audio2face ue插件形式实战笔记
笔记·ue5
im_AMBER5 小时前
weather-app开发手记 04 AntDesign组件库使用解析 | 项目设计困惑
开发语言·前端·javascript·笔记·学习·react.js