vcs+verdi搭建基础仿真的版本V1

2024-7-20

数字软件安装,仿真环境测试

dut重新修改

makefile重新修改

verdi整合完成

dut.v

复制代码
module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

复制代码
all:comp run
comp:
	vcs -full64 +v2k -sverilog   dut.v \
	-timescale=1ns/1ps \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
	-debug_acc+all
run:
	./simv
verdi:
	verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
	rm -rf simv*
	rm -rf *.vpd
	rm -rf *.key
	rm -rf csrc

仿真结果

相关推荐
Lester_11018 分钟前
嵌入式学习笔记 - STM32 ADC 模块工作模式总结
笔记·学习
愚戏师7 小时前
Linux复习笔记(六)shell编程
linux·笔记·shell
.(ᗜ ˰ ᗜ) .7 小时前
机器学习笔记2
笔记
小葡萄20258 小时前
黑马程序员c++2024版笔记 第一章 变量和基本类型
笔记·c++20
顾子茵8 小时前
计算机图形学基础--Games101笔记(一)数学基础与光栅化
笔记·图形渲染
黄暄8 小时前
初识计算机网络。计算机网络基本概念,分类,性能指标
笔记·学习·计算机网络·考研
WarPigs8 小时前
Unity光照笔记
笔记·unity·游戏引擎
Alice-YUE9 小时前
【HTML5学习笔记1】html标签(上)
前端·笔记·学习·html·html5
Alice-YUE9 小时前
【HTML5学习笔记2】html标签(下)
前端·笔记·html·html5
jerry60910 小时前
LLM笔记(五)概率论
人工智能·笔记·学习·概率论