vcs+verdi搭建基础仿真的版本V1

2024-7-20

数字软件安装,仿真环境测试

dut重新修改

makefile重新修改

verdi整合完成

dut.v

module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

all:comp run
comp:
	vcs -full64 +v2k -sverilog   dut.v \
	-timescale=1ns/1ps \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
	-debug_acc+all
run:
	./simv
verdi:
	verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
	rm -rf simv*
	rm -rf *.vpd
	rm -rf *.key
	rm -rf csrc

仿真结果

相关推荐
孤华暗香13 分钟前
吴恩达《提示词工程》(Prompt Engineering for Developers)课程详细笔记
人工智能·笔记·prompt
不要影响我叠Q28 分钟前
《软件工程-北京大学》 学习笔记
笔记·学习
YuCaiH2 小时前
【STM32】MPU6050简介
笔记·stm32·单片机·嵌入式硬件
LuckyLay2 小时前
Spring学习笔记_38——@RequestParam
笔记·学习·spring·param·request
清流君4 小时前
【运动规划】移动机器人运动规划与轨迹优化全解析 | 经典算法总结
人工智能·笔记·算法·机器人·自动驾驶·运动规划
·云扬·8 小时前
Java IO 与 BIO、NIO、AIO 详解
java·开发语言·笔记·学习·nio·1024程序员节
小杨 学习日志10 小时前
C高级学习笔记
c语言·笔记·学习
NightCyberpunk11 小时前
JavaScript学习笔记
javascript·笔记·学习
努力的小陈^O^11 小时前
docker学习笔记跟常用命令总结
java·笔记·docker·云原生