vcs+verdi搭建基础仿真的版本V1

2024-7-20

数字软件安装,仿真环境测试

dut重新修改

makefile重新修改

verdi整合完成

dut.v

module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

all:comp run
comp:
	vcs -full64 +v2k -sverilog   dut.v \
	-timescale=1ns/1ps \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
	-debug_acc+all
run:
	./simv
verdi:
	verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
	rm -rf simv*
	rm -rf *.vpd
	rm -rf *.key
	rm -rf csrc

仿真结果

相关推荐
铁匠匠匠1 小时前
从零开始学数据结构系列之第六章《排序简介》
c语言·数据结构·经验分享·笔记·学习·开源·课程设计
Moliay2 小时前
【资料分析】刷题日记2
笔记·公考·行测·常识·资料分析
小齿轮lsl2 小时前
PFC理论基础与Matlab仿真模型学习笔记(1)--PFC电路概述
笔记·学习·matlab
天玑y3 小时前
算法设计与分析(背包问题
c++·经验分享·笔记·学习·算法·leetcode·蓝桥杯
web_learning_3215 小时前
source insight学习笔记
笔记·学习
无妄啊______5 小时前
mysql笔记9(子查询)
数据库·笔记·mysql
z2014z6 小时前
系统架构设计师教程 第5章 5.3 系统分析与设计 笔记
笔记·系统架构
青石横刀策马6 小时前
泛读笔记:从Word2Vec到BERT
笔记·bert·word2vec
Magnetic_h8 小时前
【iOS】单例模式
笔记·学习·ui·ios·单例模式·objective-c
重生之我在20年代敲代码8 小时前
HTML讲解(二)head部分
前端·笔记·html·web app