vcs+verdi搭建基础仿真的版本V1

2024-7-20

数字软件安装,仿真环境测试

dut重新修改

makefile重新修改

verdi整合完成

dut.v

复制代码
module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

复制代码
all:comp run
comp:
	vcs -full64 +v2k -sverilog   dut.v \
	-timescale=1ns/1ps \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
	-debug_acc+all
run:
	./simv
verdi:
	verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
	rm -rf simv*
	rm -rf *.vpd
	rm -rf *.key
	rm -rf csrc

仿真结果

相关推荐
cwtlw1 小时前
JavaRedis和数据库相关面试题
java·数据库·笔记·面试
DayDayUp..1 小时前
[算法学习笔记] 《Hello算法》第10章 搜索
笔记·学习
Long_poem1 小时前
【自学笔记】ELK基础知识点总览-持续更新
笔记·elk·jenkins
cwtlw2 小时前
PhotoShop学习03
笔记·学习·photoshop
Peter11467178503 小时前
服务器入门操作1(深度学习)
服务器·人工智能·笔记·深度学习·学习
Qwertyuiop201611 小时前
搭建开源笔记平台:outline
笔记·开源
Vic·Tory13 小时前
Go语言学习笔记
笔记·学习·golang
Small踢倒coffee_氕氘氚13 小时前
Python实现3D贴图渲染:解锁数字艺术新维度
经验分享·笔记
吴梓穆14 小时前
UE5学习笔记 FPS游戏制作28 显式玩家子弹数
笔记·学习·ue4
上等猿15 小时前
Elasticsearch笔记
java·笔记·elasticsearch