【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL67

十六进制计数器

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1

电路的接口如下图所示。Q[3:0]中,Q[3]是高位

接口电路图如下:

输入描述:

input clk ,

input rst_n ,

输出描述:

output reg [3:0] Q

代码如下

cpp 复制代码
`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
always @(posedge clk or negedge rst_n) begin
    if (!rst_n)   Q[3:0] = 4'b0000;
    else    Q[3:0] = Q[3:0] + 4'd1;
end
endmodule

PS:该题还挺简单的。。。。。。

相关推荐
糕......16 小时前
Java异常处理完全指南:从概念到自定义异常
java·开发语言·网络·学习
好奇龙猫16 小时前
【人工智能学习-AI-MIT公开课-第5. 搜索:最优、分支限界、A**】
人工智能·学习
stars-he17 小时前
FPGA学习笔记(7)以太网UDP数据报文发送电路设计(一)
笔记·网络协议·学习·fpga开发·udp
扮作大侠17 小时前
2024vitis无错误编译项目失败[ERROR] collect2.exe: error: ld returned 1 exit status
fpga开发
峥嵘life17 小时前
2026 Android EDLA 认证相关资源网址汇总(持续更新)
android·java·学习
熬夜造bug17 小时前
LeetCode非Hot100高频题(2)——常见LeetCode手撕
学习
碎碎思17 小时前
从 JTAG 启动 Zynq-7000 嵌入式 Linux:使用 XSCT 全流程教程
linux·运维·服务器·fpga开发
Aliex_git17 小时前
Vue2 - Watch 侦听器源码理解
前端·javascript·vue.js·笔记·学习
程芯带你刷C语言简单算法题18 小时前
Day37~求组合数
c语言·开发语言·学习·算法·c
zhangfeng113318 小时前
大语言模型llm学习路线电子书 PDF、开源项目、数据集、视频课程、面试题、工具镜像汇总成一张「一键下载清单」
学习·语言模型·pdf