【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL67

十六进制计数器

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1

电路的接口如下图所示。Q[3:0]中,Q[3]是高位

接口电路图如下:

输入描述:

input clk ,

input rst_n ,

输出描述:

output reg [3:0] Q

代码如下

cpp 复制代码
`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
always @(posedge clk or negedge rst_n) begin
    if (!rst_n)   Q[3:0] = 4'b0000;
    else    Q[3:0] = Q[3:0] + 4'd1;
end
endmodule

PS:该题还挺简单的。。。。。。

相关推荐
Chrikk3 小时前
NCCL学习笔记-概念全解
笔记·学习
GHL2842710904 小时前
redis学习-value数据结构
数据库·redis·学习
vortex54 小时前
正则表达式进阶学习(一):环视、捕获分组与后向引用
学习·正则表达式
武昌库里写JAVA4 小时前
Redis奇幻之旅(四)4. Redis Cluster
java·开发语言·spring boot·学习·课程设计
酒与花生米4 小时前
【Vue学习】Vue 组件实例的生命周期(四个阶段,八个钩子)
javascript·vue.js·学习
开心邮递员4 小时前
关于元学习的相关论文
学习
私人珍藏库4 小时前
《空舞的巨兽》官方学习版
学习
碎碎思5 小时前
使用 IP 核和开源库减少 FPGA 设计周期
网络·网络协议·tcp/ip·fpga开发
山山而川粤6 小时前
共享充电宝系统|Java|SSM|VUE| 前后端分离
java·开发语言·后端·学习·mysql