【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL67

十六进制计数器

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1

电路的接口如下图所示。Q[3:0]中,Q[3]是高位

接口电路图如下:

输入描述:

input clk ,

input rst_n ,

输出描述:

output reg [3:0] Q

代码如下

cpp 复制代码
`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
always @(posedge clk or negedge rst_n) begin
    if (!rst_n)   Q[3:0] = 4'b0000;
    else    Q[3:0] = Q[3:0] + 4'd1;
end
endmodule

PS:该题还挺简单的。。。。。。

相关推荐
YJlio11 分钟前
SDelete 学习笔记(9.18):安全删除、空闲清理与介质回收实战
笔记·学习·安全
Joshua-a1 小时前
Quartus命令行烧录FPGA
fpga开发
d111111111d2 小时前
STM32低功耗学习-停止模式-(学习笔记)
笔记·stm32·单片机·嵌入式硬件·学习
找了一圈尾巴2 小时前
LLM-as-a-Judge-论文学习(下)
学习·模型评估
@游子2 小时前
Python学习笔记-Day5
笔记·python·学习
漏洞文库-Web安全3 小时前
Linux逆向学习记录
linux·运维·学习·安全·web安全·网络安全·逆向
又是忙碌的一天3 小时前
Socket学习
java·学习·socket
windfantasy19903 小时前
青少年编程考级:如何避免过度负担,让考级助力学习?
学习·青少年编程
浩瀚地学3 小时前
【Java】String
java·开发语言·经验分享·笔记·学习
知识分享小能手3 小时前
CentOS Stream 9入门学习教程,从入门到精通,CentOS Stream 9 用户和组管理 —语法详解与实战案例(6)
linux·学习·centos