【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL67

十六进制计数器

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1

电路的接口如下图所示。Q[3:0]中,Q[3]是高位

接口电路图如下:

输入描述:

input clk ,

input rst_n ,

输出描述:

output reg [3:0] Q

代码如下

cpp 复制代码
`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
always @(posedge clk or negedge rst_n) begin
    if (!rst_n)   Q[3:0] = 4'b0000;
    else    Q[3:0] = Q[3:0] + 4'd1;
end
endmodule

PS:该题还挺简单的。。。。。。

相关推荐
航Hang*几秒前
Photoshop 图形与图像处理技术——第9章:实践训练1——绘制禁烟标志和奥运五环
图像处理·笔记·学习·ui·photoshop
saoys15 分钟前
Opencv 学习笔记:图像金字塔实现上采样(pyrUp)与降采样(pyrDown)
笔记·opencv·学习
大志若愚YYZ22 分钟前
ROS2学习 C++中的this指针
c++·学习·算法
hhcccchh1 小时前
学习vue第十三天 Vue3组件深入指南:组件的艺术与科学
javascript·vue.js·学习
心枢AI研习社1 小时前
python学习笔记8--破茧与连接:Python HTTP 全球协作实战复盘
笔记·python·学习
小黄人软件1 小时前
蓝色清爽discuz门户论坛模板 ENET新锐版 安装
学习
老邓计算机毕设1 小时前
SSM学期分析与学习行为分析系统c8322(程序+源码+数据库+调试部署+开发环境)带论文文档1万字以上,文末可获取,系统界面在最后面
数据库·学习·ssm 框架·学期分析·学习行为分析
不解风水1 小时前
【自动控制原理】学习笔记
笔记·学习·自动控制原理
磊-1 小时前
AI Agent 学习计划(一)
人工智能·学习
式5162 小时前
大模型学习基础(八)提示词(Prompt)工程
学习·prompt