【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL67

十六进制计数器

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1

电路的接口如下图所示。Q[3:0]中,Q[3]是高位

接口电路图如下:

输入描述:

input clk ,

input rst_n ,

输出描述:

output reg [3:0] Q

代码如下

cpp 复制代码
`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
always @(posedge clk or negedge rst_n) begin
    if (!rst_n)   Q[3:0] = 4'b0000;
    else    Q[3:0] = Q[3:0] + 4'd1;
end
endmodule

PS:该题还挺简单的。。。。。。

相关推荐
山山而川粤1 分钟前
刷题系统小程序的设计
java·spring boot·后端·学习·小程序
丶重明8 分钟前
【2024】前端学习笔记11-网页布局-弹性布局flex
前端·笔记·学习
小码狐32 分钟前
力扣【598-区间加法】【数组-C语言】
c语言·学习·算法·leetcode·力扣
limengshi1383921 小时前
通信工程学习:什么是MAC媒体接入控制
网络·网络协议·学习·信息与通信
云边散步1 小时前
产品经理的学习
学习·产品经理
bug菌¹2 小时前
滚雪球学MySQL[11.2讲]:MySQL未来学习方向:大数据、云计算与迁移路径
大数据·学习·mysql·云计算·迁移路径
Peter11467178502 小时前
【生成模型】学习笔记
笔记·学习·概率论
凭栏落花侧2 小时前
什么是数据挖掘?初学者指南
开发语言·笔记·python·学习·conda·pandas·pip
水饺编程2 小时前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-1
linux·嵌入式硬件·fpga开发
向上的车轮2 小时前
Django学习笔记一:MVT的示例
笔记·学习·django