【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL67

十六进制计数器

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1

电路的接口如下图所示。Q[3:0]中,Q[3]是高位

接口电路图如下:

输入描述:

input clk ,

input rst_n ,

输出描述:

output reg [3:0] Q

代码如下

cpp 复制代码
`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
always @(posedge clk or negedge rst_n) begin
    if (!rst_n)   Q[3:0] = 4'b0000;
    else    Q[3:0] = Q[3:0] + 4'd1;
end
endmodule

PS:该题还挺简单的。。。。。。

相关推荐
做cv的小昊13 小时前
【TJU】信息检索与分析课程笔记和练习(7)数据库检索—Ei
数据库·笔记·学习·全文检索
AI360labs_atyun13 小时前
上海打出“开源”国际牌!2025重磅新政
人工智能·科技·学习·ai·开源
Chris_121913 小时前
Halcon学习笔记-Day6:工业视觉高级技术应用与实战项目
笔记·学习·halcon
洋洋Young13 小时前
【Xilinx FPGA】DDR3 SDRAM 控制器
fpga开发·xilinx
GHL28427109014 小时前
调用通义千问(qwen-plus)模型demo-学习
学习·ai·ai编程
碎碎思15 小时前
在 FPGA 里跑 SDR 和 FT8:一个 32 MHz 全频谱无线电的硬核实现
fpga开发
wdfk_prog15 小时前
[Linux]学习笔记系列 -- [fs]super
linux·笔记·学习
GHL28427109015 小时前
Temperature、Top P 学习
学习·ai
Yyuanyuxin15 小时前
保姆级学习开发安卓手机软件(三)--安装模拟机并开始简单的进入开发
android·学习
不爱编程爱睡觉16 小时前
代码随想录学习——项目学习——HTTP服务框架——环境配置问题
学习