基于DE1-SOC的My_first_fpga

  1. 双击桌面的Quartus图标打开Quartus工具。

  2. 指定工程路径。

  1. 点击四次Next,选择5csema5f31c6器件(这个器件正好是DE1-SOC开发板上对应的FPGA device):
  1. 再点击三次Next,然后点击Finish,这样工程就创建好了。
  1. 点击File------New,选择Verilog HDL File,点击OK来创建top文件。
  1. 将点亮LED 的verilog代码复制到.v文件当中:

    module led(
    input wire clk, // 50MHz input clock
    output wire LED // LED ouput
    );

    // create a binary counter
    reg [31:0] cnt; // 32-bit counter

    initial begin

    cnt <= 32'h00000000; // start at zero
    end

    always @(posedge clk) begin
    cnt <= cnt + 1; // count up
    end

    //assign LED to 25th bit of the counter to blink the LED at a few Hz
    assign LED = cnt[24];

    endmodule

  1. 点击保存:
  1. 点击分析与综合:
  1. 点击Pin Planner:
  1. 引脚分配如下:
  1. 关闭Pin Planner窗口,进行全编译:
  1. 给DE1-SOC开发板上电,插上USB Blaster线缆并连接到PC机。

  2. 打开Programmer窗口进行sof文件的下载:

可以观察到DE1-SOC的LEDR0在闪烁:

相关推荐
灵风_Brend15 小时前
秋招准备——2.跨时钟相关
fpga开发
希言自然也18 小时前
FPGA生成随机数的方法
fpga开发
156082072191 天前
QSFP+、QSFP28、QSFP-DD接口分别实现40G、100G、200G/400G以太网接口
fpga开发·信号处理
&Cheems1 天前
ZYNQ笔记(十九):VDMA VGA 输出分辨率可调
笔记·fpga开发
可编程芯片开发2 天前
基于FPGA的PID控制器verilog实现,包含simulink对比模型
fpga开发·verilog·simulink·pid控制器
ThreeYear_s2 天前
基于FPGA控制ADC0832双通道采样+电压电流采样+LCD屏幕显示
fpga开发
ktd0072 天前
`timescale 1ns/1ps的意义
fpga开发
我是苹果,不是香蕉2 天前
双端口ram与真双端口ram的区别
fpga开发
尤老师FPGA2 天前
LVDS系列11:Xilinx Ultrascale系可编程输入延迟(一)
fpga开发
Terasic友晶科技3 天前
第20篇:Linux设备驱动程序入门<七>
fpga开发·定时器·de1-soc开发板·linux设备驱动程序