matlab生成任意长度余弦波coe文件以及vivado仿真

一、matlab生成任意长度余弦波coe文件

Matlab 复制代码
clear
clc
close all
width=32;   %rom的位宽
depth=3600; %rom的深度
x=linspace(0,2*pi,depth);  %在一个周期内产生3600个采样点
y_cos=cos(x);   %生成余弦数据
y_cos=round(y_cos*(2^(width-1)-1))+2^(width-1)-1;  %将余弦数据全部转换为整数
%y_cos=dec2hex(y_cos);
fid=fopen('C:\rom_coe\rom_cos_3600x32b.coe','w');  %创建.coe文件
% 写入 COE 文件头
fprintf(fid, 'memory_initialization_radix=16;\n');
fprintf(fid, 'memory_initialization_vector=\n');
for i = 1:length(y_cos)
  fprintf(fid,'%x',y_cos(i));  %向.coe文件中写入数据
  if i < length(x)
        fprintf(fid, ',');
    else
        fprintf(fid, ';');
  end
  fprintf(fid, '\n');
end
%关闭.coe文件
fclose(fid);  

二、Block RAM IP配置

三、verilog tb测试文件

matlab生成的是带偏置的波形,在verilog中减去偏置即可。

cpp 复制代码
module sim_1_read_cos( );

reg clk_100m=1'b1;
reg  [11:0] rd_addra=12'd0;

wire [31:0] dout_32b;
reg  [31:0] dout_32b_rectify;
integer i;
initial begin
    rd_addra = 12'd0;
    #100
    
    for(i=0;i<=4095;i=i+1)
        begin
            #10 rd_addra = rd_addra + 1'b1;
        end
end

always #5 clk_100m = ~clk_100m;
//减去偏置
always@(posedge clk_100m) begin
    dout_32b_rectify <= dout_32b - 32'h8000_0000;
end

brom_4096x32b u_brom_4096x32b (
  .clka ( clk_100m  ),  // input wire clka
  .addra( rd_addra  ),  // input wire [11 : 0] addra
  .douta( dout_32b  )   // output wire [31 : 0] douta
);
endmodule

四、仿真结果

相关推荐
清流君2 小时前
【自动驾驶】控制算法(十二)横纵向综合控制 | 从理论到实战全面解析
人工智能·笔记·matlab·自动驾驶·运动控制·carsim
大饼酱人3 小时前
关于FPGA的一些专用术语和coding事项
fpga开发
通信仿真实验室3 小时前
(30)数字信号处理中的时域分析:均值、方差、与功率
算法·matlab·均值算法·信号处理
通信仿真实验室4 小时前
(33)MATLAB信号分析之信号功率、噪声功率、与信噪比
开发语言·算法·matlab·语音识别·信号处理
辣个蓝人QEX7 小时前
【FPGA开发】Xilinx锁相环IP核仿真输出初始有一段高电平引发的思考与探究
fpga开发·fpga
十七算法实验室14 小时前
Matlab实现野马优化算法优化回声状态网络模型 (WHO-ESN)(附源码)
人工智能·深度学习·算法·决策树·随机森林·机器学习·matlab
鱼会上树cy21 小时前
空间解析几何 4:空间中线段到圆的距离【附MATLAB代码】
开发语言·算法·matlab
subject625Ruben21 小时前
MRSO算法(JCR2区)
算法·matlab
黄埔数据分析1 天前
X_INTERFACE_INFO module reference
fpga开发