VSCode Verilog编辑仿真环境搭建

VSCode Verilog环境搭建

下载Iverilog

官网下载Iverilog

安装Iverilog




一定要勾选这两项


建议勾选这两项


验证安装

  • 运行Windows PowerShell
  • 输入命令:iverilog
  • 输入命令:Get-Command gtkwave

VS Code安装插件

  • 搜索安装:Verilog-HDL插件
  • 搜索安装:Digital IDE插件

创建counter.v文件

c 复制代码
module counter(
        input clk,  // 27MHz
        output [5:0] led
    );

    parameter DELAY_MAX = 13_500_000;

    reg [$clog2(DELAY_MAX+1)-1 : 0] delay_cnt=0;

    reg [5:0] led_reg = 0;

    always @(posedge clk) begin
        if (delay_cnt == DELAY_MAX-1) begin
            delay_cnt <= 0;
        end
        else begin
            delay_cnt <= delay_cnt + 1'b1;
        end
    end

    always @(posedge clk) begin
        if (delay_cnt == DELAY_MAX -1) begin
            led_reg <= led_reg + 1'b1;
        end
    end

    assign led = ~led_reg;

endmodule //moduleName

创建counter_tb.v (testbeanch测试实例)

c 复制代码
`timescale 1ns/1ns

module testbench();

    reg clk;

    wire [5:0] led;

    always # 1 clk=~clk;

    initial begin
        clk = 0;
    end

    initial begin
        $dumpfile("wave.vcd");
        $dumpvars(0, testbench);
        #6000 $finish;
    end

    counter #(.DELAY_MAX(5)) u_counter(
                .clk(clk),
                .led(led)
            );

endmodule

使用iverilog编译器将Verilog代码和测试文件编译成VVP文件:

  • 命令行执行:iverilog -o "test_tb.vvp" .\counter_tb.v .\counter.v

在命令行中运行生成的VVP文件,生成VCD波形文件:

  • vvp test_tb.vvp

使用GTKwave打开生成的VCD文件,查看仿真波形:

  • gtkwave.exe -L .\wave.vcd
相关推荐
小关会打代码27 分钟前
关于Pycharm中在运行出现语法错误:Non-UTF-8 code starting with
ide·python·pycharm
太空1号7 小时前
SystemVerilog小白入门1, iverilog+VScode
vscode
^Lim7 小时前
vscode连接ubuntu18报Gilbc2.28错
ide·vscode·编辑器
Aevget13 小时前
「Java EE开发指南」如何用MyEclipse开发Java EE企业应用程序?(二)
java·ide·java-ee·开发·myeclipse
luckys.one14 小时前
第12篇|[特殊字符] Freqtrade 交易所接入全解:API、WebSocket、限频配置详解
网络·ide·python·websocket·网络协议·flask·流量运营
T - mars15 小时前
Pycharm中使用git
ide·git·pycharm
DreamNotOver16 小时前
将 Jupyter Notebook 转换为 PDF
ide·jupyter·pdf
北冥有鱼被烹17 小时前
【微知】vscode如何自动换行?(Option + Z)
vscode·编辑器
Zewen PAN19 小时前
新手 Visual Studio 环境配置 详解
c++·ide·visual studio
象骑士Hack21 小时前
《RStudio》软件下载_《RStudio》安装包下载_《RStudio》安装教程下载_《RStudio》网盘下载
编辑器