VSCode Verilog编辑仿真环境搭建

VSCode Verilog环境搭建

下载Iverilog

官网下载Iverilog

安装Iverilog




一定要勾选这两项


建议勾选这两项


验证安装

  • 运行Windows PowerShell
  • 输入命令:iverilog
  • 输入命令:Get-Command gtkwave

VS Code安装插件

  • 搜索安装:Verilog-HDL插件
  • 搜索安装:Digital IDE插件

创建counter.v文件

c 复制代码
module counter(
        input clk,  // 27MHz
        output [5:0] led
    );

    parameter DELAY_MAX = 13_500_000;

    reg [$clog2(DELAY_MAX+1)-1 : 0] delay_cnt=0;

    reg [5:0] led_reg = 0;

    always @(posedge clk) begin
        if (delay_cnt == DELAY_MAX-1) begin
            delay_cnt <= 0;
        end
        else begin
            delay_cnt <= delay_cnt + 1'b1;
        end
    end

    always @(posedge clk) begin
        if (delay_cnt == DELAY_MAX -1) begin
            led_reg <= led_reg + 1'b1;
        end
    end

    assign led = ~led_reg;

endmodule //moduleName

创建counter_tb.v (testbeanch测试实例)

c 复制代码
`timescale 1ns/1ns

module testbench();

    reg clk;

    wire [5:0] led;

    always # 1 clk=~clk;

    initial begin
        clk = 0;
    end

    initial begin
        $dumpfile("wave.vcd");
        $dumpvars(0, testbench);
        #6000 $finish;
    end

    counter #(.DELAY_MAX(5)) u_counter(
                .clk(clk),
                .led(led)
            );

endmodule

使用iverilog编译器将Verilog代码和测试文件编译成VVP文件:

  • 命令行执行:iverilog -o "test_tb.vvp" .\counter_tb.v .\counter.v

在命令行中运行生成的VVP文件,生成VCD波形文件:

  • vvp test_tb.vvp

使用GTKwave打开生成的VCD文件,查看仿真波形:

  • gtkwave.exe -L .\wave.vcd
相关推荐
Anyexyz6 小时前
Vscode/Code-Server 安装中文包——CI/CD
ide·vscode·ci/cd
zhslhm7 小时前
PDF编辑器:Foxit PDF Editor Pro 版功能解析
pdf·编辑器·pdf编辑器·福昕pdf
puyihuan13 小时前
AI编程新选择!VSCode + RooCode,超越Cursor
ide·vscode·ai编程·roocode
2401_8729905315 小时前
【vscode】.dart文件没有错误波浪线
ide·vscode·编辑器
mahuifa18 小时前
(37)VTK C++开发示例 ---纹理地球
c++·vscode·vtk·3d地球
就叫啥也不会吧1 天前
VSCode突然连接不上服务器(已解决)
ide·vscode·编辑器
一个程序员(●—●)1 天前
法线纹理采样+可视化Shader编辑器
unity·编辑器·着色器
DeePlaskin2 天前
Jupyter notebook快捷键
ide·python·jupyter
cliff,2 天前
关于在vscode终端不能执行npm
笔记·vscode·学习·npm