DSP48E2 的 MAC模式功能仿真


DSP48E2 仿真代码:

测试的功能为 P i = ( A + D ) ∗ B + P i − 1 P_{i} = (A+D) * B + P_{i-1} Pi=(A+D)∗B+Pi−1

verilog 复制代码
`timescale 1ns / 1ns

module dsp_tb;
	// 输入
	reg CLK;
	reg CE;
	reg SCLR;
	reg signed [26:0] A, D;
	reg signed [17:0]  B;
	// 输出
	wire signed [47:0] P;
	
	parameter period = 5; // 100MHz
	parameter num_test = 104;// 测试轮数
	
	always #period CLK=!CLK;
	
	// DSP 初始化
    initial begin
		CLK = 0;
		CE = 0;
		SCLR = 1;
		A = 0;
		B = 0;
		D = 0;
        #(period*2);
		CE = 1;
		SCLR = 0;
    end
    
	// 测试激励生成
    integer i=0;
    always #10 begin
		//A = (1 << 26) - 1;
		//D = 0;
		//B = (1 << 16) - 1;
		
		A = $random % (1 << 18);
		D = $random % (1 << 8);
		B = $random % (1 << 8);
		
		if(i==num_test+1)begin
			#period $stop;
		end else begin
			i=i+1;
		end
    end        
    
	
    reg signed [26:0] A_4r, D_4r, A_3r, D_3r, A_2r, D_2r, A_r, D_r;
	reg signed [17:0] B_r, B_2r, B_3r, B_4r; 
	integer num_correct=0, num_error=0; // 记录正确个数和错误个数
    wire signed [47:0] P_ref;
	reg signed [47:0] P_ref_r;
    wire result;       
	
	// 参考结果生成
    assign P_ref=(i<4)?0: (A_4r + D_4r)* B_4r + P_ref_r;
	assign result=(P_ref!=P);
    

	// 打印测试log
    always@(posedge CLK)
        if(SCLR)begin
            {A_4r,D_4r, B_4r, A_3r,D_3r, B_3r, A_2r,D_2r, B_2r, A_r,D_r, B_r}<=0;
			P_ref_r <= 0;
			num_correct <= 0;
			num_error   <= 0;
		end else
        begin
			// 打拍同步延迟
			{A_4r,D_4r,B_4r}<={A_3r, D_3r, B_3r};
            {A_3r,D_3r,B_3r}<={A_2r, D_2r, B_2r};
            {A_2r, D_2r, B_2r}<={A_r, D_r, B_r};
            {A_r, D_r, B_r}<={A, D, B}; 
			P_ref_r <= P_ref;
			
			// 结果比对和打印
			if(i>=5 && i<=num_test)begin // 前四个输出P和P_ref的时序未同步,故不算
				$write("[%d]: (%d + %d)* %d + %d = %d, P_ref:%d, ",i-5,A_4r, D_4r, B_4r, P_ref_r, P, P_ref);
				if(result==1'b0)begin
					$display("Pass :)");
					num_correct <= num_correct + 1;
				end else begin
					$display("Fail :(");
					num_error <= num_error + 1;
				end
			end else begin
				$display("Accuracy:%d/%d=%d%%", num_correct,num_test-4,num_correct*100/(num_test-4));
			end
			
        end
		
	
	// 实例化待测模块
  dsp_macro_0 uut(
    .CLK(CLK),
    .CE(CE),
    .SCLR(SCLR),
    .A(A),
    .B(B),
    .D(D),
    .P(P)
  );   

endmodule
相关推荐
霖006 分钟前
FPGA中级项目7———TFT显示与驱动
经验分享·fpga开发·学习方法·显示器·ip·集成学习
FPGA_Linuxer10 小时前
FPGA DDR4多通道管理控制器设计
fpga开发
hahaha60163 天前
zynq7035的arm一秒钟最多可以支持触发多少次中断
fpga开发
做一个优雅的美男子3 天前
如何写好Verilog状态机
fpga开发
霖004 天前
FPGA中级项目8———UART-RAM-TFT
网络·经验分享·嵌入式硬件·fpga开发·显示器·fpga
弄曲幽篁4 天前
ZYNQ 纯PL端逻辑资源程序固化流程
经验分享·笔记·fpga开发
&Cheems4 天前
ZYNQ笔记(十四):基于 BRAM 的 PS、PL 数据交互
笔记·嵌入式硬件·fpga开发
S&Z34634 天前
[FPGA VIDEO IP] VCU
fpga开发·vcu
一条九漏鱼4 天前
提高设计的综合性能
fpga开发