`timescale 1ns/1ps的意义

使用Xilinx的IDE创建新代码文件的时候,IDE会自动添加上一个`timescale 1ns/1ps。

1、`timescale 1ns/1ps只作用于软件仿真,不参与实际的编译。

2、`timescale 1ns/1ps的功能和定义

`timescale 仿真延时/仿真精度

以下面的一段代码为例

复制代码
module sim_prbs_test;
    reg clk;
    reg reset;
    wire [7:0] prbs_out;
    wire error;

    prbs_test uut (
        .clk(clk),
        .reset(reset),
        .prbs_out(prbs_out),
        .error(error)
    );

    initial begin
        clk = 0;
        reset = 1;
        #10 reset = 0;
        #1000 $stop;
    end

    always #5 clk = ~clk;

    initial begin
        $monitor("Time: %0d, PRBS Out: %b, Error: %b", $time, prbs_out, error);
    end
endmodule

`timescale 1ns/1ps的含义:

1\]1ns表示仿真延时, #1 = 1ns,比如代码中的#10 reset = 0; 就是等待10ns后,reset置0. \[2\]1ps是仿真的计算精度,也就是仿真后的结果图上能呈现的最小颗粒度是1ps,颗粒度越小,仿真计算的过程越漫长,所以大型仿真计算最好根据实际情况调整这个值,以提高仿真速度。1ps其实是非常小的结果,1ps对应的频率是1THz,如下图的时间轴。 ![](https://i-blog.csdnimg.cn/direct/558da53d70b2402c9eee5abfb30712dc.png) 而FPGA内部的逻辑通常也就是在500MHz(局部)以内的,大多数仿真不需要这么高的精度,尤其是做功能仿真的时候。

相关推荐
云雾J视界18 小时前
FPGA在AI时代的角色重塑:硬件可重构性与异构计算的完美结合
fpga开发·边缘计算·gpu·vitis·ai推理·azure云·异构编程
s09071362 天前
FPGA中CIC设计注意事项
算法·fpga开发·cic滤波器
Aaron15882 天前
RFSOC+VU13P在无线信道模拟中的技术应用分析
数据结构·人工智能·算法·fpga开发·硬件架构·硬件工程·射频工程
碎碎思2 天前
BerkeleyLab Bedrock:为 FPGA 与加速计算打造的开源基石
fpga开发·开源
zidan14122 天前
xilinx常用文档说明
fpga开发
ShiMetaPi2 天前
GM-3568JHF丨ARM+FPGA异构开发板系列教程:外设教程 04 WIFI
网络·arm开发·fpga开发·智能路由器·fpga
FPGA_小田老师2 天前
FPGA基础知识(二十):Xilinx Block Memory IP核(5)--ROM 详解
fpga开发·rom·coe文件格式·导入coe·block memory
FPGA_无线通信2 天前
压缩解压缩算法 BFP-8bit
fpga开发
红糖果仁沙琪玛2 天前
AD7616驱动开发-FPGA
驱动开发·fpga开发
坏孩子的诺亚方舟2 天前
FPGA系统架构设计实践13_FPGA系统功能安全
fpga开发·系统架构·功能安全概念