基于FPGA的PID算法学习———实现PI比例控制算法

文章目录

前言

学习内容:参考网站:
PID算法控制

PID即:Proportional(比例)、Integral(积分)、Differential(微分)的缩写。也就是说,PID算法是结合这三种环节在一起的。

闭环控制:输出会影响到输入,进而逐渐逼近目标。

一、PI环控制算法

积分控制算法,就是为了消除稳态误差,由于积分是从0时刻一直积分到当前时刻 t,并且是对e(t)函数进行积分。

PI比例控制算法:

核心部分,大部分作用来自于P,I和D主要控制减小误差。

目标值:Target

实际值:Pid_out

误差值:e_t

上一时刻误差值:e_t

弥补值:u_t

相关公式:

误差值:e_t=Target - Pid_out

上一时刻误差值:e_t_1=e_t

弥补值:*u(t)=Kp ( e(t) - e(t-1)) + Ki * e(t)

输出值:Pid_out = Pid_out + u(t)

二、仿真分析验证

1.P环仿真

c 复制代码
module PID_trol(
     input                     sys_clk  ,  
     input                     rst_n,   
     //signal  
	  input		 signed	[7:0]  target,
	  output reg signed	[7:0]  Pid_out
    );
	 
	 reg	signed	[15:0]		e_t;//目标值和现在值的差距
	 reg	signed	[32:0]		u_t;//补偿值
     
	 parameter signed K_p = 32'd300;
	 parameter signed div = 8'd3;
//	 assign e_t = target - Pid_out;
	 always @(posedge sys_clk or negedge rst_n)begin
		  if(!rst_n)begin
				e_t <= 16'd0;
		  end
		  else begin
				e_t <= target - Pid_out;
		  end
	 end
	 
	 always @(posedge sys_clk or negedge rst_n)begin
		  if(!rst_n)begin
				u_t <= 32'd0;
		  end
		  else begin
				u_t <= (e_t * K_p )/1000;
		  end
	 end
	 
	 always @(posedge sys_clk or negedge rst_n)begin
		  if(!rst_n)begin
				Pid_out <= 8'd0;
		  end
		  else begin
		        Pid_out <= Pid_out + u_t;
		  end
	 end
	 
	 
	 
endmodule

2.PI环仿真

c 复制代码
module PID_control(
     input                     sys_clk  ,  
     input                     rst_n,   
     //signal  
	  input		 signed	[7:0]  target,
	  output reg signed	[7:0]  Pid_out
    );
	 
	 reg	signed	[15:0]		e_t;//目标值和现在值的差距
	 reg	signed	[15:0]		e_t_1;//上一时刻差距
	 reg	signed	[32:0]		u_t;//补偿值
     
	 parameter signed K_p = 32'd200;
	 parameter signed div_p = 8'd3;
	 
	 parameter signed K_i = 32'd310;
	 parameter signed div_i = 8'd3;
//	 assign e_t = target - Pid_out;
	 always @(posedge sys_clk or negedge rst_n)begin
		  if(!rst_n)begin
				e_t <= 16'd0;
		  end
		  else begin
				e_t <= target - Pid_out;
		  end
	 end
	 
	 always @(posedge sys_clk or negedge rst_n)begin
		  if(!rst_n)begin
				e_t_1 <= 16'd0;
		  end
		  else begin
				e_t_1 <= e_t;
		  end
	 end
	 
	 always @(posedge sys_clk or negedge rst_n)begin
		  if(!rst_n)begin
				u_t <= 32'd0;
		  end
		  else begin
				u_t <= ((e_t - e_t_1) * K_p )/1000 + ( e_t * K_i)/1000 ;
		  end
	 end
	 
	 always @(posedge sys_clk or negedge rst_n)begin
		  if(!rst_n)begin
				Pid_out <= 8'd0;
		  end
		  else begin
		        Pid_out <= Pid_out + u_t;
		  end
	 end
	 
	 
	 
endmodule

3.顶层

c 复制代码
`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2025/06/10 13:45:03
// Design Name: 
// Module Name: top
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module top(
     input                     sys_clk  ,  
     input                     rst_n,   
     //signal  
	  input		  signed	[7:0]  target,
	  output wire signed	[7:0]  P_out,
	  output wire signed	[7:0]  Pi_out
    );
    
    
    
   PID_control u_PI (
        .sys_clk   (sys_clk),
        .rst_n     (rst_n),
        .target    (target),
        .Pid_out   (Pi_out) 
    );
    
    PID_trol u_P(
    .sys_clk   (sys_clk),
    .rst_n     (rst_n),
    .target    (target),
    .Pid_out   (P_out)
);
endmodule

4.测试文件

c 复制代码
`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2025/06/10 13:48:03
// Design Name: 
// Module Name: tb_top
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

`timescale 1ns / 1ps

module tb_top();
    // 输入信号
    reg         sys_clk;
    reg         rst_n;
    reg signed [7:0] target;
    
    // 输出信号
    wire signed [7:0] P_out;
    wire signed [7:0] Pi_out;
    
    // 实例化顶层模块
    top u_top (
        .sys_clk (sys_clk),
        .rst_n   (rst_n),
        .target  (target),
        .P_out   (P_out),
        .Pi_out  (Pi_out)
    );
    
    // 时钟生成(100MHz)
    initial begin
        sys_clk = 0;
        forever #10 sys_clk = ~sys_clk;  // 10ns周期 = 100MHz
    end
    
    // 测试激励
    initial begin
        // 初始化并复位
        rst_n = 0;
        target = 0;
        #20;  // 等待两个时钟周期
        
        // 释放复位
        rst_n = 1;
        #10;
        
        // 测试场景 1:正目标值
        target = 8'd100;   // +50


    end
    

endmodule

5.仿真波形

总结

加上I之后,整体上升缓和了一点,同时调整速度缩短了一点。

相关推荐
rufeike2 小时前
Redis学习笔记
redis·笔记·学习
重庆小透明4 小时前
【从零开始学习JVM | 第六篇】运行时数据区
java·jvm·后端·学习
晨曦backend5 小时前
Vim 替换命令完整学习笔记
笔记·学习·vim
liuyang___6 小时前
日期的数据格式转换
前端·后端·学习·node.js·node
王景程6 小时前
什么是哈希函数
算法·哈希算法
蒙奇D索大6 小时前
【11408学习记录】[特殊字符] 速解命题核心!考研数学线性代数:4类行列式满分技巧(含秒杀公式)
笔记·学习·线性代数·考研·改行学it
会不再投降2196 小时前
《算法复杂度:数据结构世界里的“速度与激情”》
数据结构·算法
kaiaaaa7 小时前
算法训练第十五天
开发语言·python·算法
哆啦A梦的口袋呀7 小时前
基于Python学习《Head First设计模式》第十章 状态模式
学习·设计模式
moxiaoran57537 小时前
uni-app学习笔记三十六--分段式选项卡组件的使用
笔记·学习·uni-app