「Verilog学习笔记」状态机-非重叠的序列检测

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

根据题意 定义一个五位的中间变量lock 每次始终上升沿来临时 判断当前寄存器的低四位+新数据是否等于10111 如果等于 则下一时刻lock应被清空 否则lock等于当前的lock的低四位+新数据

`timescale 1ns/1ns

module sequence_test1(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);
//*************code***********//
	reg [4:0] lock ; 

	always @ (posedge clk or negedge rst) begin
		if (~rst) lock <= 'd0 ; 
		else 
			if ({lock[3:0], data} == 5'b10111) lock <= 'd0 ; 
			else lock <= {lock[3:0], data} ;
	end

	always @ (posedge clk or negedge rst) begin
		if (~rst) flag <= 'd0 ; 
		else 
			if ({lock[3:0], data} == 5'b10111) flag <= 1'd1 ; 
			else flag <= 'd0 ;
	end

//*************code***********//
endmodule
相关推荐
nanjoh24 分钟前
lvgl学习笔记--基础对象1
笔记·学习
CrazyZ12629 分钟前
矩阵分析第三章矩阵的标准型笔记手稿
笔记·线性代数·矩阵
丶重明34 分钟前
【2024】前端学习笔记9-内部样式表-外部导入样式表-类选择器
前端·笔记·学习
怀九日1 小时前
C++(学习)2024.9.20
开发语言·c++·学习·const·static·重载
蜡笔小新星1 小时前
前端框架对比和选择
前端·javascript·vue.js·经验分享·学习·前端框架
lsnm2 小时前
<刷题笔记> 二叉搜索树与双向链表注意事项
数据结构·笔记·链表
zhaoyushi002 小时前
python自学笔记
windows·笔记·python
清流君3 小时前
【自动驾驶】控制算法(九)深度解析车辆纵向控制 | 从算法基础到 Carsim 仿真实践
人工智能·笔记·算法·自动驾驶·控制算法
-心铭-3 小时前
有关在.Net Core中以TEXT类型将Json格式字段存到数据库的学习
数据库·学习·.netcore
凭栏落花侧3 小时前
现代前端框架实战指南:React、Vue.js、Angular核心概念与应用
前端·vue.js·经验分享·笔记·学习·react.js·前端框架