Quartus II + Modelsim 脚本仿真

软件版本:Intel® Quartus® Prime Design Suite: 23.2

方式参考附件Intel 官方文档:Questa*-Intel® FPGA Edition Quick-Start: Intel® Quartus® Prime Pro Edition

  • 第1步,创建一个ram ip,并形成一个例化的top层ip
  • 第2步,自己再单独写一个testbench.v

  • 第3步,指定EDA仿真工具:Specify EDA Tool Settings

  • 第4步,Generate a Simulator Setup Script Template
  • 第5步,产生仿真脚本并进行修改:Create the Simulation Script
  • 第6步,运行运行mentor_example.do脚本文件

打开modelsim,在Transcript栏中,cd切换到mentor_example.do所在路径, 敲入do mentor_example.do,运行该脚本文件

其他:

  • 为了防止仿真时优化掉信号使得仿真没有波形,需要打开mentor路径下的modelsim.ini文件,使得其中的VoptFlow=0
  • 可以创建一个run_simulation.bat的批处理脚本文件,这样可以不用每次打开modelsim输入命令,只需要双击run_simulation.bat文件即可进行仿真,内容如下:
  • sim_waveform.do怎么来的呢?

在进行过仿真后,添加需要的波形以及相应的设置后,在wave窗口中选择File-Save Format,保存到mentor文件夹下即可

  • tcl脚本:

.vhd 用vcom命令编译

.v 和.sv用vlog命令编译

参考链接:

Questa*-Intel® FPGA Edition Quick-Start: Intel® Quartus® Prime Pro Edition

其他连接:

Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

相关推荐
落雨无风2 分钟前
quartus pin 分配(三)
fpga开发
cycf4 小时前
深入浅出通信原理
fpga开发·信息与通信
IM_DALLA20 小时前
【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL21
学习·fpga开发
皇华ameya1 天前
AMEYA360:村田电子更适合薄型设计应用场景的3.3V输入、12A输出的DCDC转换IC
fpga开发
千穹凌帝1 天前
SpinalHDL之结构(二)
开发语言·前端·fpga开发
一口一口吃成大V1 天前
FPGA随记——FPGA时序优化小经验
fpga开发
贾saisai1 天前
Xilinx系FPGA学习笔记(九)DDR3学习
笔记·学习·fpga开发
redcocal2 天前
地平线秋招
python·嵌入式硬件·算法·fpga开发·求职招聘
思尔芯S2C2 天前
高密原型验证系统解决方案(下篇)
fpga开发·soc设计·debugging·fpga原型验证·prototyping·深度调试·多fpga 调试
坚持每天写程序2 天前
xilinx vivado PULLMODE 设置思路
fpga开发