「Verilog学习笔记」单端口RAM

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module RAM_1port(
    input clk,
    input rst,
    input enb,
    input [6:0]addr,
    input [3:0]w_data,
    output wire [3:0]r_data
);
//*************code***********//
    reg [6:0] mem[127:0] ;
    integer i ;

    always @ (posedge clk or negedge rst) begin 
        if (~rst) 
            for (i = 0 ; i < 127 ; i = i + 1) 
                mem[i] <= 0 ;
        else if (enb) // 使能高电平写数据
            mem[addr] <= w_data ;  
    end

    assign r_data = (~enb) ? mem[addr] : 0 ; // 使能低电平读数据

//*************code***********//
endmodule
相关推荐
dengqingrui1234 小时前
【树形DP】AT_dp_p Independent Set 题解
c++·学习·算法·深度优先·图论·dp
我的心永远是冰冰哒5 小时前
ad.concat()学习
学习
ZZZ_O^O5 小时前
二分查找算法——寻找旋转排序数组中的最小值&点名
数据结构·c++·学习·算法·二叉树
slomay6 小时前
关于对比学习(简单整理
经验分享·深度学习·学习·机器学习
hengzhepa7 小时前
ElasticSearch备考 -- Async search
大数据·学习·elasticsearch·搜索引擎·es
小小洋洋8 小时前
BLE MESH学习1-基于沁恒CH582学习
学习
m0_689618289 小时前
水凝胶发生器,不对称设计妙,医电应用前景广
笔记
Ace'9 小时前
每日一题&&学习笔记
笔记·学习
IM_DALLA9 小时前
【Verilog学习日常】—牛客网刷题—Verilog进阶挑战—VL25
学习·fpga开发·verilog学习
挥剑决浮云 -9 小时前
Linux 之 安装软件、GCC编译器、Linux 操作系统基础
linux·服务器·c语言·c++·经验分享·笔记