「Verilog学习笔记」单端口RAM

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module RAM_1port(
    input clk,
    input rst,
    input enb,
    input [6:0]addr,
    input [3:0]w_data,
    output wire [3:0]r_data
);
//*************code***********//
    reg [6:0] mem[127:0] ;
    integer i ;

    always @ (posedge clk or negedge rst) begin 
        if (~rst) 
            for (i = 0 ; i < 127 ; i = i + 1) 
                mem[i] <= 0 ;
        else if (enb) // 使能高电平写数据
            mem[addr] <= w_data ;  
    end

    assign r_data = (~enb) ? mem[addr] : 0 ; // 使能低电平读数据

//*************code***********//
endmodule
相关推荐
杜子不疼.20 分钟前
《Python学习之文件操作:从入门到精通》
数据库·python·学习
★YUI★23 分钟前
学习游戏制作记录(玩家掉落系统,删除物品功能和独特物品)8.17
java·学习·游戏·unity·c#
livemetee1 小时前
Flink2.0学习笔记:Flink服务器搭建与flink作业提交
大数据·笔记·学习·flink
INS_KF2 小时前
【C++知识杂记2】free和delete区别
c++·笔记·学习
Easocen3 小时前
Mybatis学习笔记(五)
笔记·学习·mybatis
丑小鸭是白天鹅4 小时前
嵌入式C语言学习笔记之枚举、联合体
c语言·笔记·学习
楼田莉子5 小时前
C++算法题目分享:二叉搜索树相关的习题
数据结构·c++·学习·算法·leetcode·面试
十一10246 小时前
FX10/20 (CYUSB401X)开发笔记5 固件架构
笔记
FakeOccupational6 小时前
【电路笔记 通信】AXI4-Lite协议 FPGA实现 & Valid-Ready Handshake 握手协议
笔记·fpga开发
I'm a winner6 小时前
FPGA+护理:跨学科发展的探索(五)
fpga开发