「Verilog学习笔记」单端口RAM

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module RAM_1port(
    input clk,
    input rst,
    input enb,
    input [6:0]addr,
    input [3:0]w_data,
    output wire [3:0]r_data
);
//*************code***********//
    reg [6:0] mem[127:0] ;
    integer i ;

    always @ (posedge clk or negedge rst) begin 
        if (~rst) 
            for (i = 0 ; i < 127 ; i = i + 1) 
                mem[i] <= 0 ;
        else if (enb) // 使能高电平写数据
            mem[addr] <= w_data ;  
    end

    assign r_data = (~enb) ? mem[addr] : 0 ; // 使能低电平读数据

//*************code***********//
endmodule
相关推荐
q***448143 分钟前
java进阶--多线程学习
java·开发语言·学习
断剑zou天涯6 小时前
【算法笔记】窗口内最大值或最小值的更新结构
java·笔记·算法
Naiva7 小时前
【小技巧】Microchip 把 MPLAB X IDE工程编码改成 UTF-8
笔记
步达硬件9 小时前
【FPGA】FPGA开发流程
fpga开发
ndjnddjxn10 小时前
Rust学习
开发语言·学习·rust
菜鸟‍10 小时前
【后端学习】MySQL数据库
数据库·后端·学习·mysql
陈天伟教授10 小时前
基于学习的人工智能(1)机器学习
人工智能·学习
im_AMBER10 小时前
Leetcode 59 二分搜索
数据结构·笔记·学习·算法·leetcode
专注于大数据技术栈10 小时前
java学习--final
java·开发语言·学习
田里的水稻11 小时前
AI_常见“XX学习”术语速查表
人工智能·学习