「Verilog学习笔记」单端口RAM

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module RAM_1port(
    input clk,
    input rst,
    input enb,
    input [6:0]addr,
    input [3:0]w_data,
    output wire [3:0]r_data
);
//*************code***********//
    reg [6:0] mem[127:0] ;
    integer i ;

    always @ (posedge clk or negedge rst) begin 
        if (~rst) 
            for (i = 0 ; i < 127 ; i = i + 1) 
                mem[i] <= 0 ;
        else if (enb) // 使能高电平写数据
            mem[addr] <= w_data ;  
    end

    assign r_data = (~enb) ? mem[addr] : 0 ; // 使能低电平读数据

//*************code***********//
endmodule
相关推荐
小年糕是糕手31 分钟前
【数据结构】常见的排序算法 -- 插入排序
c语言·开发语言·数据结构·学习·算法·leetcode·排序算法
我先去打把游戏先39 分钟前
ESP32C3开发指南(基于IDF):console控制台命令行交互功能
笔记·嵌入式硬件·mcu·物联网·学习·esp32·交互
长桥夜波43 分钟前
【第二十周】机器学习笔记09
人工智能·笔记·机器学习
QT 小鲜肉3 小时前
【QT/C++】Qt网络编程进阶:TCP网络编程的基本原理和实际应用(超详细)
c语言·开发语言·网络·c++·qt·学习·tcp/ip
YuanDaima20483 小时前
安全协议设计入门:原理与分析
人工智能·笔记·安全·网络安全·密码学·安全协议·课程
浮游本尊3 小时前
React 18.x 学习计划 - 第六天:React路由和导航
前端·学习·react.js
yuxb734 小时前
Zabbix企业级分布式监控系统(上)
笔记·学习·zabbix
现在,此刻4 小时前
李沐深度学习笔记D1-什么是深度学习
人工智能·笔记·深度学习
猿来是你_L6 小时前
UGUI笔记——3D坐标转换成UGUI坐标
笔记·3d
崎岖Qiu11 小时前
【设计模式笔记17】:单例模式1-模式分析
java·笔记·单例模式·设计模式