「Verilog学习笔记」单端口RAM

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module RAM_1port(
    input clk,
    input rst,
    input enb,
    input [6:0]addr,
    input [3:0]w_data,
    output wire [3:0]r_data
);
//*************code***********//
    reg [6:0] mem[127:0] ;
    integer i ;

    always @ (posedge clk or negedge rst) begin 
        if (~rst) 
            for (i = 0 ; i < 127 ; i = i + 1) 
                mem[i] <= 0 ;
        else if (enb) // 使能高电平写数据
            mem[addr] <= w_data ;  
    end

    assign r_data = (~enb) ? mem[addr] : 0 ; // 使能低电平读数据

//*************code***********//
endmodule
相关推荐
不做无法实现的梦~22 分钟前
rm视觉学习1-自瞄部分
学习
꧁坚持很酷꧂1 小时前
FreeRTOS学习02_任务管理
stm32·学习
朱颜辞镜花辞树‎1 小时前
Go爬虫开发学习记录
爬虫·学习·golang
DQI-king2 小时前
ZYNQ学习记录FPGA(二)Verilog语言
学习·fpga开发·zynq
蓝婷儿2 小时前
6个月Python学习计划 Day 18 - 项目实战 · 学生成绩管理系统(OOP版)
开发语言·python·学习
9527华安2 小时前
国产安路FPGA纯verilog图像缩放,工程项目解决方案,提供5套TD工程源码和技术支持
fpga开发·verilog·图像缩放·双线性插值·安路fpga
恰薯条的屑海鸥3 小时前
关于我对各开发语言的看法与接下来的文章内容
开发语言·学习·微信小程序·网站开发·全栈开发
哆啦A梦的口袋呀3 小时前
基于Python学习《Head First设计模式》第八章 模板方法模式
python·学习·设计模式
致***锌3 小时前
期货与期权市场基本原理是什么?
笔记
bylander4 小时前
【AI学习】wirelessGPT多任务无线基础模型摘要
学习