「Verilog学习笔记」游戏机计费程序

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module game_count
    (
		input rst_n, //异位复位信号,低电平有效
        input clk, 	//时钟信号
        input [9:0]money,
        input set,
		input boost,
		output reg[9:0]remain,
		output reg yellow,
		output reg red
    );
        always @ (posedge clk or negedge rst_n) begin 
            if (~rst_n) remain <= 0 ;  
            else if (boost) remain <= set ? remain + money : remain < 2 ? remain : remain - 2 ; 
            else remain <= set ? remain + money : remain < 1 ? remain : remain - 1 ; 
        end

        always @ (posedge clk or negedge rst_n) begin 
            if (~rst_n) begin 
                yellow <= 0 ; 
                red <= 0 ; 
            end
            else begin 
                yellow <= remain && remain < 10 ; 
                red <= boost ? remain < 2 : remain < 1 ;
            end
        end
endmodule
相关推荐
刘阿去14 分钟前
tcc编译器教程6 进一步学习编译gmake源代码
c语言·学习
LuckyLay1 小时前
Golang学习笔记_49——解释器模式
笔记·学习·设计模式·golang·解释器模式
sauTCc2 小时前
DataWhale-三月学习任务-大语言模型初探(一、二、五章学习)
人工智能·学习·语言模型
RichardK.2 小时前
CCF-CSP第27次认证第1题 --《如此编码》
c++·学习
weixin_502539853 小时前
rust学习笔记13-18. 四数之和
笔记·学习·rust
宇希啊3 小时前
2025/03/06(嵌入式学习开始第二天)
嵌入式硬件·学习
种豆走天下3 小时前
Zookeeper学习
分布式·学习·zookeeper
~Yogi3 小时前
每日学习Java之一万个为什么
java·开发语言·学习
郭涤生5 小时前
在线程间共享数据_第三章_《C++并发编程》笔记
c++·笔记·算法
zjoy_22335 小时前
【Latex】latex公式手册大全||积分公式表示||极限表达||矩阵的各种表达
python·学习·线性代数·数学·矩阵·latex·高等数学