「Verilog学习笔记」游戏机计费程序

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module game_count
    (
		input rst_n, //异位复位信号,低电平有效
        input clk, 	//时钟信号
        input [9:0]money,
        input set,
		input boost,
		output reg[9:0]remain,
		output reg yellow,
		output reg red
    );
        always @ (posedge clk or negedge rst_n) begin 
            if (~rst_n) remain <= 0 ;  
            else if (boost) remain <= set ? remain + money : remain < 2 ? remain : remain - 2 ; 
            else remain <= set ? remain + money : remain < 1 ? remain : remain - 1 ; 
        end

        always @ (posedge clk or negedge rst_n) begin 
            if (~rst_n) begin 
                yellow <= 0 ; 
                red <= 0 ; 
            end
            else begin 
                yellow <= remain && remain < 10 ; 
                red <= boost ? remain < 2 : remain < 1 ;
            end
        end
endmodule
相关推荐
A_nanda2 分钟前
vue快速学习框架
前端·javascript·vue.js·学习·c#
WTCLLB7 分钟前
取消文件隐藏属性,找到winre.wim和boot.sdi
windows·学习
其美杰布-富贵-李9 分钟前
门控模型与Mixture of Experts (MOE) 学习笔记
笔记·学习·moe·门控神经网络
求真求知的糖葫芦17 分钟前
RF and Microwave Coupled-Line Circuits射频微波耦合线电路4.3 均匀非对称耦合线学习笔记(上)(自用)
笔记·学习·射频工程
ajole21 分钟前
C++学习笔记——C++11
数据结构·c++·笔记·学习·算法·stl
晚霞的不甘28 分钟前
Flutter for OpenHarmony《智慧字典》中的沉浸式学习:成语测试与填空练习等功能详解
学习·flutter·ui·信息可视化·前端框架·鸿蒙
我命由我1234533 分钟前
企业领域 - 跨部门轮岗
经验分享·笔记·学习·职场和发展·求职招聘·职场发展·学习方法
蒸蒸yyyyzwd37 分钟前
CS144lab理解笔记 lab0-lab2
服务器·网络·经验分享·笔记
Hello_Embed38 分钟前
libmodbus 源码分析(发送请求篇)
笔记·单片机·嵌入式·freertos·libmodbus
week_泽42 分钟前
第二个弱学习器的预测值由来解释说明
学习