「Verilog学习笔记」游戏机计费程序

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module game_count
    (
		input rst_n, //异位复位信号,低电平有效
        input clk, 	//时钟信号
        input [9:0]money,
        input set,
		input boost,
		output reg[9:0]remain,
		output reg yellow,
		output reg red
    );
        always @ (posedge clk or negedge rst_n) begin 
            if (~rst_n) remain <= 0 ;  
            else if (boost) remain <= set ? remain + money : remain < 2 ? remain : remain - 2 ; 
            else remain <= set ? remain + money : remain < 1 ? remain : remain - 1 ; 
        end

        always @ (posedge clk or negedge rst_n) begin 
            if (~rst_n) begin 
                yellow <= 0 ; 
                red <= 0 ; 
            end
            else begin 
                yellow <= remain && remain < 10 ; 
                red <= boost ? remain < 2 : remain < 1 ;
            end
        end
endmodule
相关推荐
松莫莫5 分钟前
Spring Boot 整合 MQTT 全流程详解(Windows 环境)—— 从 Mosquitto 安装到消息收发实战
windows·spring boot·后端·mqtt·学习
知识分享小能手11 分钟前
CentOS Stream 9入门学习教程,从入门到精通,CentOS Stream 9 中的 Shell 编程 —语法详解与实战案例(11)
linux·学习·centos
烤麻辣烫21 分钟前
黑马大事件学习-15(前端登录页面)
前端·css·vue.js·学习·html
Hacker_Z&Q21 分钟前
HTML5笔记3 (表格、表单、H5新标签)
笔记
葱卤山猪22 分钟前
【Qt】 TCP套接字通信学习文档
qt·学习·tcp/ip
数据门徒25 分钟前
《人工智能现代方法(第4版)》 第11章 自动规划 学习笔记
人工智能·笔记·学习
No Big Deal36 分钟前
笔记-mave项目pom中个别依赖飘红解决方案
笔记
很㗊38 分钟前
BSP之以太网接口学习笔记
linux·驱动开发·笔记·学习
UTwelve39 分钟前
【UE】笔记:用 @param 标记,为蓝图节点的输入输出引脚添加注释
笔记