vivado xsim 终端 模拟

只模拟的话直接终端运行会快很多

计数器举例

c 复制代码
mkdir src

counter.v

c 复制代码
module counter(
  input wire clk,
  input wire rst_n,
  output reg[31:0] cnt 
);
always @(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt <= 31'h0;
  else
    cnt <= cnt+1;

endmodule  

tb.v

c 复制代码
module tb;
wire[31:0] out;
reg clk;
reg rst_n;

initial begin
  #10 clk <= 1'b0;
  #10 rst_n = 1'b0;
  #10 rst_n = 1'b1;
  #5000 $finish;
end

always #1 clk = ~clk;

counter c1(clk,rst_n,out);

endmodule  

编译 创建模拟snapshot

c 复制代码
mkdir sim
cd sim
xvlog ../src/counter.v ../src/tb.v
xelab -debug typical -top tb -snapshot tb

创建脚本

xsim_cfg.tcl

c 复制代码
 log_wave -recursive *
 run all
 exit  
c 复制代码
xsim tb --tclbatch xsim_cfg.tcl

打开gui

c 复制代码
xsim --gui tb.wdb
相关推荐
∑狸猫不是猫29 分钟前
(15)CT137A- 按键消抖设计
fpga开发
我爱C编程33 分钟前
基于FPGA的2ASK+帧同步系统verilog开发,包含testbench,高斯信道,误码统计,可设置SNR
fpga开发·信道·帧同步·snr·2ask·误码统计
可知可知不可知13 小时前
明解FPGA中LUT原理
fpga开发
如何学会学习?14 小时前
3. FPGA内部存储资源
fpga开发
szxinmai主板定制专家14 小时前
【国产NI替代】基于A7 FPGA+AI的16振动(16bits)终端PCIE数据采集板卡
人工智能·fpga开发
stm 学习ing15 小时前
HDLBits训练6
经验分享·笔记·fpga开发·fpga·eda·verilog hdl·vhdl
szxinmai主板定制专家15 小时前
【NI国产替代】基于国产FPGA+全志T3的全国产16振动+2转速(24bits)高精度终端采集板卡
人工智能·fpga开发
stm 学习ing15 小时前
HDLBits训练4
经验分享·笔记·fpga开发·课程设计·fpga·eda·verilog hdl
cckkppll15 小时前
判断实例化或推断的时机
fpga开发
博览鸿蒙17 小时前
选择FPGA开发,学历是硬性要求吗?
fpga开发