vivado xsim 终端 模拟

只模拟的话直接终端运行会快很多

计数器举例

c 复制代码
mkdir src

counter.v

c 复制代码
module counter(
  input wire clk,
  input wire rst_n,
  output reg[31:0] cnt 
);
always @(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt <= 31'h0;
  else
    cnt <= cnt+1;

endmodule  

tb.v

c 复制代码
module tb;
wire[31:0] out;
reg clk;
reg rst_n;

initial begin
  #10 clk <= 1'b0;
  #10 rst_n = 1'b0;
  #10 rst_n = 1'b1;
  #5000 $finish;
end

always #1 clk = ~clk;

counter c1(clk,rst_n,out);

endmodule  

编译 创建模拟snapshot

c 复制代码
mkdir sim
cd sim
xvlog ../src/counter.v ../src/tb.v
xelab -debug typical -top tb -snapshot tb

创建脚本

xsim_cfg.tcl

c 复制代码
 log_wave -recursive *
 run all
 exit  
c 复制代码
xsim tb --tclbatch xsim_cfg.tcl

打开gui

c 复制代码
xsim --gui tb.wdb
相关推荐
oria2006几秒前
高云FPGA-新增输出管脚约束
fpga开发
每月一号准时摆烂2 小时前
数字电子技术基础(六十)——使用Digital软件绘制脉冲触发的触发器
fpga开发
可编程芯片开发13 小时前
基于FPGA的电子万年历系统开发,包含各模块testbench
fpga开发·fpga·电子万年历
爱学习的张哥16 小时前
UDP--DDR--SFP,FPGA实现之ddr读写控制模块
网络协议·fpga开发·udp
GateWorld1 天前
深入浅出IIC协议 - 从总线原理到FPGA实战开发 -- 第一篇:I2C总线协议深度解剖
fpga开发·开源协议
爱学习的张哥1 天前
UDP--DDR--SFP,FPGA实现之模块梳理及AXI读写DDR读写上板测试
单片机·fpga开发·udp·axi·ddr
白杨树田2 天前
【EDA软件】【联合Modelsim仿真使用方法】
fpga开发
搬砖的小码农_Sky2 天前
FPGA: XILINX Kintex 7系列器件的架构
fpga开发·架构·硬件架构
搬砖的小码农_Sky2 天前
FPGA:如何提高RTL编码能力?
fpga开发·硬件架构
晶台光耦2 天前
高速光耦在通信行业的应用(五) | 5Mbps通信光耦的特性
fpga开发