vivado xsim 终端 模拟

只模拟的话直接终端运行会快很多

计数器举例

c 复制代码
mkdir src

counter.v

c 复制代码
module counter(
  input wire clk,
  input wire rst_n,
  output reg[31:0] cnt 
);
always @(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt <= 31'h0;
  else
    cnt <= cnt+1;

endmodule  

tb.v

c 复制代码
module tb;
wire[31:0] out;
reg clk;
reg rst_n;

initial begin
  #10 clk <= 1'b0;
  #10 rst_n = 1'b0;
  #10 rst_n = 1'b1;
  #5000 $finish;
end

always #1 clk = ~clk;

counter c1(clk,rst_n,out);

endmodule  

编译 创建模拟snapshot

c 复制代码
mkdir sim
cd sim
xvlog ../src/counter.v ../src/tb.v
xelab -debug typical -top tb -snapshot tb

创建脚本

xsim_cfg.tcl

c 复制代码
 log_wave -recursive *
 run all
 exit  
c 复制代码
xsim tb --tclbatch xsim_cfg.tcl

打开gui

c 复制代码
xsim --gui tb.wdb
相关推荐
爱吃羊的老虎39 分钟前
【verilog】Verilog 工程规范编码模板
fpga开发
爱吃羊的老虎2 小时前
【verilog】在同一个 always 块中写了多个“看起来独立”的 if / if-else,到底谁先谁后,怎么执行?会不会冲突?
fpga开发
肯德基疯狂星期四-V我504 小时前
【FPGA】【DE2-115】DDS信号发生器设计
fpga开发·de2-115
子墨城西7 小时前
DSP、MCU、FPGA 的详细总结
单片机·嵌入式硬件·fpga开发
XINVRY-FPGA7 小时前
XC6SLX100T-2FGG484I 赛灵思 XilinxFPGA Spartan-6
c++·人工智能·嵌入式硬件·阿里云·ai·fpga开发·fpga
9527华安7 小时前
紫光同创FPGA实现HSSTLP光口视频点对点传输,基于Aurora 8b/10b编解码架构,提供6套PDS工程源码和技术支持
fpga开发·pds·紫光同创fpga·hsstlp·aurora 8b/10b
hahaha60167 小时前
ARINC818协议-持续
网络·fpga开发
尤老师FPGA16 小时前
LVDS系列8:Xilinx 7系可编程输入延迟(一)
fpga开发
szxinmai主板定制专家1 天前
基于STM32+FPGA的地震数据采集器软件设计,支持RK3568+FPGA平台
arm开发·fpga开发
傻智智爱吃糖1 天前
Xilinx 7系列fpga在线升级和跳转
fpga开发