vivado xsim 终端 模拟

只模拟的话直接终端运行会快很多

计数器举例

c 复制代码
mkdir src

counter.v

c 复制代码
module counter(
  input wire clk,
  input wire rst_n,
  output reg[31:0] cnt 
);
always @(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt <= 31'h0;
  else
    cnt <= cnt+1;

endmodule  

tb.v

c 复制代码
module tb;
wire[31:0] out;
reg clk;
reg rst_n;

initial begin
  #10 clk <= 1'b0;
  #10 rst_n = 1'b0;
  #10 rst_n = 1'b1;
  #5000 $finish;
end

always #1 clk = ~clk;

counter c1(clk,rst_n,out);

endmodule  

编译 创建模拟snapshot

c 复制代码
mkdir sim
cd sim
xvlog ../src/counter.v ../src/tb.v
xelab -debug typical -top tb -snapshot tb

创建脚本

xsim_cfg.tcl

c 复制代码
 log_wave -recursive *
 run all
 exit  
c 复制代码
xsim tb --tclbatch xsim_cfg.tcl

打开gui

c 复制代码
xsim --gui tb.wdb
相关推荐
Js_cold1 小时前
Verilog任务task
开发语言·fpga开发·verilog
brave and determined3 小时前
可编程逻辑器件学习(day3):FPGA设计方法、开发流程与基于FPGA的SOC设计详解
嵌入式硬件·fpga开发·soc·仿真·电路·时序·可编程逻辑器件
Lee_yayayayaya6 小时前
锁相环技术及FPGA实现
fpga开发
Js_cold11 小时前
Verilog局部参数localparam
开发语言·fpga开发·verilog
promising-w11 小时前
【FPGA】使用移位实现LED流水灯
fpga开发
爱吃汽的小橘12 小时前
ZYNQ介绍
fpga开发
ThreeYear_s1 天前
电力电子技术学习路径与FPGA/DSP技术结合方向(gemini生成)
学习·fpga开发
奋斗的牛马1 天前
FPGA—ZYNQ学习spi(六)
单片机·嵌入式硬件·学习·fpga开发·信息与通信
GateWorld1 天前
FPGA核心约束类型与语法
fpga开发
SKYDROID云卓小助手1 天前
无人设备遥控器之数字图传技术
运维·服务器·单片机·嵌入式硬件·fpga开发