FPGA Vivado环境下实现D触发器

题目要求:使用Verilog HDL语言设计一个D触发器。请提交程序源代码和Word格式的作业文档,作业文档中应给出程序源代码及RTL分析原理图。

D触发器的工作原理:

初始状态下,触发器处于复位状态,输出为复位信号的稳定状态(通常为0)。使能信号 EN 和输入数据 D 的值不会影响触发器的状态。

当使能信号 EN 为高电平时,D触发器处于使能状态,可以根据时钟信号 CLK 的变化来接收和存储输入数据。

当使能信号 EN 为低电平时,D触发器处于禁止状态,不论时钟信号 CLK 如何变化,都不会对输入数据进行接收或存储。

当时钟 CLK 发生上升沿或下降沿时(取决于D触发器的类型),且使能信号 EN 为高电平时,D触发器根据输入数据 D 来更新其状态。

如果是上升沿触发的D触发器,在时钟上升沿时,输入数据 D 的值将被传递到触发器的输出端 Q 中,即 Q = D。

如果是下降沿触发的D触发器,在时钟下降沿时,输入数据 D 的值将被传递到触发器的输出端 Q 中,即 Q = D。

当复位信号 RESET 为高电平时,无论时钟 CLK 和使能信号 EN 的状态如何,触发器均处于复位状态,输出为复位信号的稳定状态。

1.新建一个工程:

2.选芯片的时候任选,不需要管具体的芯片型号,因为原理是一样的

3.双击打开DFF文件

4.可以在工作区写代码了(代码如下)

module DFF(
    input RSTn,
    input CLK,
    input En,
    input D,
    output Q
    );
    wire d,en;
    reg q;
    assign d=D;
    assign Q=q;
    assign en=En;
    always @(posedge CLK or negedge RSTn)begin
        if(!RSTn) begin
        q <= 1;
        end else
            if(en) begin
                q <= d;
            end else
                q <= q;
         end
endmodule

3.点击 RTL ANALYSIS→Open Elaborated Design→Schematic 项,可以查看 RTL 描述后的结构,如下所示:

最后,如有帮助,动动小手点个赞呗

相关推荐
望森FPGA4 小时前
HDLBits中文版,标准参考答案 |2.5 More Verilog Features | 更多Verilog 要点
学习·fpga开发
望森FPGA7 小时前
HDLBits中文版,标准参考答案 |3.1.1 Basic Gates | 基本门电路
学习·fpga开发
IM_DALLA18 小时前
【Verilog学习日常】—牛客网刷题—Verilog进阶挑战—VL25
学习·fpga开发·verilog学习
辣个蓝人QEX19 小时前
【FPGA开发】Modelsim如何给信号分组
fpga开发·modelsim·zynq
li星野1 天前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安1 天前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠1 天前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt1 天前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian1 天前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt2 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie