FPGA verilog 模板设计示例(持续更新)

重温一下大道至简的至简设计法,正式开发两年多回顾当时的设计方法,又有了更多的体会和感触,希望将模块化运用起来会更有条例。

1 FPGA设计代码模板

c 复制代码
信号命名规范:
1 clk 表示时钟信号小写
2 rstn 表示高电平复位信号 小写
3 rst_n 表示低电平复位信号 小写
4 模块的命名:将模块英文名称的各个单词首字母组合起来,形成3到5个单词,首字母大写
5 参数、宏定义,必须大写

1.1 计数器模板

cpp 复制代码
always@(posedge clk or negedge rst_n) begin
	if(rst_n == 1'b0) 	cnt <= 'd0;
	else if(add_cnt) begin
		if(end_cnt)		cnt <= 'd0;
		else 			cnt <= cnt + 1'b1;
	end
end

这一段计数器模板可以完全套用,需要改变的是add_cnt 和 end_cnt 的值

c 复制代码
assign add_cnt = a == 2;
assign end_cnt = add_cnt && cnt == 10-1;

1.2 状态机模板

我们采用四段式状态机

第一段:同步时序的always模块,格式化描述次状态迁移到现状态寄存器

c 复制代码
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) 				state_c <= IDLE;
	else 					state_c <= state_n;
end

第二段,组合逻辑always块,描述状态转移条件判断

c 复制代码
always@(*) begin
	case(state_c)
		IDLE:begin
			if(idle2s1_start) 		state_n = S1;
			else					state_n = state_c;
		end
		S1: begin
			if(s12s2_start)			state_n = S2;
			else 					state_n = state_c;
		end	
		S2: begin
			if(s22idle_start)		state_n = IDLE;
			else 					state_n = state_c;
		end
		default:					state_n = IDLE;

	end case;	
end

第三段:前两段可以模板拿来即用,这一段需要自己根据项目需要来设定;

c 复制代码
assign idle2s1_start = (state_c == IDLE) && (XX);
assign s12s2_start   = (state_c == S1) && (XX);
assign s22idle_start = (state_c == S2) && (XX);

第四段:也是根据实际项目需要来设定

c 复制代码
always@(posedge clk or negedge rst_n) begin
	if(!rst_n) 				out1 <= 1'b0;
	else if(state_c == S1)  out1 <= 1'b1;
	else 					out1 <= 1'b0;
end
相关推荐
apple_ttt16 小时前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程17 小时前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt18 小时前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~20 小时前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA21 小时前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发
诚实可靠小郎君95271 天前
FPGA IO延迟的约束与脚本
fpga开发·fpga·数字电路
GGGLF2 天前
FPGA-UART串口接收模块的理解
fpga开发
北京太速科技股份有限公司2 天前
太速科技-495-定制化仪器户外便携式手提触摸一体机
fpga开发
9527华安2 天前
FPGA实现PCIE图片采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·pcie·xdma·hdmi
水饺编程2 天前
简易CPU设计入门:取指令(三),ip_buf与rd_en的非阻塞赋值
fpga开发