【FPGA】使用移位实现LED流水灯

一、原理

  • 时钟信号
  • 复位信号
  • 输出管脚,位宽为8,因为要点亮8个灯

二、注意

1.

2.

将光标拖到红线处可以提示报错信息

3.

这个25000000-1值影响仿真速度,在仿真时少些时间,将500ms变成了5000us

三、源文件

verilog

`timescale 1ns / 1ps

//////////////////////////////////////////////////////////////////////////////////

// Company:

// Engineer:

//

// Create Date: 2025/11/07 21:16:22

// Design Name:

// Module Name: led_run

// Project Name:

// Target Devices:

// Tool Versions:

// Description:

//

// Dependencies:

//

// Revision:

// Revision 0.01 - File Created

// Additional Comments:

//

//////////////////////////////////////////////////////////////////////////////////

module led_run(

Clk,

Reset_n,

Led

);

input Clk;

input Reset_n;

output reg [7:0]Led;

reg [24:0] counter;

always@(posedge Clk or negedge Reset_n)

if(!Reset_n)

counter <=0;

else if(counter ==25000000-1)

counter<=0;

else

counter<= counter+1'd1;

always@(posedge Clk or negedge Reset_n)

if(!Reset_n)

Led <= 8'b0000_0001;

else if(counter ==25000000-1)begin

if((Led==8'b1000_0000)|Led==8'b0000_0000)

Led<=8'b0000_0001;

else

Led <=Led<<1;

end

endmodule

仿真代码

`timescale 1ns / 1ns

module led_run_tb();

reg Clk;

reg Reset_n;

wire [7:0]Led;//位宽八位

led_run led_run(

.Clk(Clk),

.Reset_n(Reset_n),

.Led(Led)

);

initial Clk = 1;

always #10 Clk = ~Clk;

initial begin

Reset_n = 0;

#201;

Reset_n = 1;

//#4000_000_000;32位有符号数不能表示已经超过最大值,换另一种表达方式

//#2000_000_000;

//#2000_000_000;

#40_000_000;//缩短时间,提高仿真效率

$stop;

end

endmodule

管脚文件

set_property PACKAGE_PIN Y18 [get_ports Clk]

set_property IOSTANDARD LVCMOS33 [get_ports Clk]

set_property PACKAGE_PIN B21 [get_ports Reset_n]

set_property IOSTANDARD LVCMOS33 [get_ports Reset_n]

set_property PACKAGE_PIN M22 [get_ports Led[0]]

set_property PACKAGE_PIN N22 [get_ports Led[1]]

set_property PACKAGE_PIN L21 [get_ports Led[2]]

set_property PACKAGE_PIN K21 [get_ports Led[3]]

set_property PACKAGE_PIN K22 [get_ports Led[4]]

set_property PACKAGE_PIN J22 [get_ports Led[5]]

set_property PACKAGE_PIN H22 [get_ports Led[6]]

set_property PACKAGE_PIN M21 [get_ports Led[7]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[0]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[1]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[2]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[3]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[4]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[5]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[6]]

set_property IOSTANDARD LVCMOS33 [get_ports Led[7]]

相关推荐
爱吃汽的小橘3 小时前
ZYNQ介绍
fpga开发
ThreeYear_s13 小时前
电力电子技术学习路径与FPGA/DSP技术结合方向(gemini生成)
学习·fpga开发
奋斗的牛马17 小时前
FPGA—ZYNQ学习spi(六)
单片机·嵌入式硬件·学习·fpga开发·信息与通信
GateWorld20 小时前
FPGA核心约束类型与语法
fpga开发
SKYDROID云卓小助手21 小时前
无人设备遥控器之数字图传技术
运维·服务器·单片机·嵌入式硬件·fpga开发
Topplyz1 天前
在FPGA中实现频率计方案详解(等精度测量)
fpga开发·fpga·频率计
whik11941 天前
如何测量FPGA管脚的好坏
fpga开发
XINVRY-FPGA1 天前
XC7Z020-1CLG484I Xilinx AMD FPGA Zynq-7000 SoC
arm开发·嵌入式硬件·网络协议·fpga开发·硬件工程·信号处理·fpga
Js_cold2 天前
Verilog宏define
fpga开发·verilog