面试题记录1

题目:

给定一个输入序列01101001101101101找出序列为1101并统计其个数。请用有限状态机(FSM)实现。

解题:

代码:

bash 复制代码
module sequence_detector(
    input wire clk,    // 时钟信号
    input wire reset,  // 复位信号
    input wire seq_in, // 输入序列
    output reg [3:0] count // 计数器
);

    // 状态编码
    parameter S0 = 4'b0000, // 初始状态
              S1 = 4'b0001, // 检测到 '1'
              S11 = 4'b0011, // 检测到 '11'
              S110 = 4'b0110, // 检测到 '110'
              S1101 = 4'b1101; // 检测到 '1101'

    reg [3:0] current_state, next_state;

    // 状态转移:根据时钟上升沿或复位信号进行状态转移
    always @(posedge clk or posedge reset) begin
        if (reset) begin
            current_state <= S0;
            count <= 0;
        end else begin
            current_state <= next_state;
        end
    end

    // 下一个状态逻辑:根据当前状态和输入序列计算下一个状态
    always @(*) begin
        case (current_state)
            S0: begin
                if (seq_in == 1)
                    next_state = S1;
                else
                    next_state = S0;
            end
            S1: begin
                if (seq_in == 1)
                    next_state = S11;
                else
                    next_state = S0;
            end
            S11: begin
                if (seq_in == 0)
                    next_state = S110;
                else
                    next_state = S11;
            end
            S110: begin
                if (seq_in == 1)
                    next_state = S1101;
                else
                    next_state = S0;
            end
            S1101: begin
                if (seq_in == 1)
                    next_state = S11;
                else
                    next_state = S0;
            end
            default: next_state = S0;
        endcase
    end

    // 输出逻辑:当达到状态S1101时增加计数器
    always @(posedge clk or posedge reset) begin
        if (reset) begin
            count <= 0;
        end else if (current_state == S1101) begin
            count <= count + 1;
        end
    end

endmodule

仿真模块:

bash 复制代码
// 测试模块
module tb_sequence_detector;
    // 测试信号
    reg clk;
    reg reset;
    reg seq_in;
    wire [3:0] count;

    // 实例化序列检测器
    sequence_detector uut (
        .clk(clk),
        .reset(reset),
        .seq_in(seq_in),
        .count(count)
    );

    // 时钟信号生成
    always #5 clk = ~clk;

    initial begin
        // 初始化信号
        clk = 0;
        reset = 0;
        seq_in = 0;

        // 复位
        reset = 1;
        #10;
        reset = 0;

        // 提供输入序列 01101001101101101
        seq_in = 0; #10;
        seq_in = 1; #10;
        seq_in = 1; #10;
        seq_in = 0; #10;
        seq_in = 1; #10;
        seq_in = 0; #10;
        seq_in = 0; #10;
        seq_in = 1; #10;
        seq_in = 1; #10;
        seq_in = 0; #10;
        seq_in = 1; #10;
        seq_in = 1; #10;
        seq_in = 1; #10;
        seq_in = 0; #10;
        seq_in = 1; #10;
        seq_in = 1; #10;
        seq_in = 0; #10;
        seq_in = 1; #10;

        // 结束仿真
        #50;
        $finish;
    end
endmodule

结果正确:

解析:

1、状态定义:

定义FSM的不同状态来表示已经检测到的序列部分。

S0:初始状态

S1:检测到一个1

S11:检测到11

S110:检测到110

S1101:检测到1101(目标序列)

2、状态转移:根据输入位和当前状态确定下一个状态。

3、计数逻辑:每当FSM到达目标状态S1101时,增加计数器。

相关推荐
qq_392399909 小时前
FPGA问题
fpga开发
乌恩大侠17 小时前
PXIe-7976【K410T】
fpga开发
作精本精21 小时前
Xilinx FPGA:vivado实现乒乓缓存
fpga开发
深圳信迈科技DSP+ARM+FPGA1 天前
基于X86+FPGA+AI的切割机控制、六轴机器人控制方案
人工智能·fpga开发·视觉检测
Anin蓝天(北京太速科技-陈)1 天前
116-基于5VLX110T FPGA FMC接口功能验证6U CPCI平台
图像处理·嵌入式硬件·fpga开发
作精本精1 天前
Xilinx FPGA:vivado关于真双端口的串口传输数据的实验
fpga开发
迪普微社区2 天前
双芯合璧,双FPGA赋能的软件无线电平台上线!
图像处理·fpga开发·fpga·开发板·通信·射频·雷达监测
程序员不想敲代码啊2 天前
【Emacs Verilog mode保姆级的使用指南】
fpga开发·编辑器·emacs
小达爱学习2 天前
verilog实现PID控制
fpga开发
FPGAmaster创新者2 天前
基于AGX ORIN与FPGA K7实现PCIE高速数据通信/Orin与FPGA高速数据传输/XDMA在linux系统使用教程
linux·嵌入式硬件·fpga开发