29.PLL(锁相环)-IP核的调用

(1)PLL IP核的简介:

Phase Locked Loop,即锁相环,是最常用的IP核之一,其性能强大,可以对输入到FPGA的时钟信号进行任意分频、倍频、相位调整、占空比调整,从而输出一个期望时钟。锁相环分为两种锁相环:模拟锁相环、数字锁相环。模拟锁相环相比较数字锁相环性能更优,但温度过高,容易失锁。

FD:鉴频 PD:鉴相

LF:环路滤波器(滤除高频噪声) VCO:压控振荡器(电压越高,生成的信号频率越高)

DIV:分频器

(2)PLL在Vivado软件中的配置过程:

CMT:时钟管理器 A7中有24个时钟管理器,MMCM包含PLL所有功能,还能实现一些额外的功能,可以输出差分信号,可以实现精确相位信号的输出。

查找IP核:

输入信号的配置:

板卡晶振为50MHz

输出信号的设置:

第一路信号为100MHz,相位与占空比与输入信号保持一致。

第二路信号为25MHz,相位与占空比与输入信号保持一致。

第三路信号为100MHz,但相位相比较输入信号偏移90°。

第四路信号为100MHz,但占空比降低为20%。

复位信号可以取消:

点击ok之后,跳转到生成IP界面:

veo文件中有可以例化的模板:

(3)PLL在Vivado软件中的调用:

(4)PLL仿真代码:

`timescale 1ns / 1ps

module pll_tb;

    reg   clk         ;      
    wire  clk_100M    ;
    wire  clk_25M     ;
    wire  clk_s_90    ;
    wire  clk_d_20    ;
    wire  locked      ;
    
    pll pll_inst(
        .clk        (clk      ),
        .clk_100M   (clk_100M ),
        .clk_25M    (clk_25M  ),
        .clk_s_90   (clk_s_90 ),
        .clk_d_20   (clk_d_20 ),
        .locked     (locked   )
    );
    
    
    initial clk = 1'd1;
    always #10 clk = ~clk;
    

endmodule

(5)仿真波形:

相关推荐
今天你fpga了嘛1 天前
五、一个quad同时支持pcie和sfp两种高速接口的ref时钟配置
fpga开发
电子小白的每一天2 天前
FPGA开发——状态机的使用
vscode·fpga开发·fpga
千穹凌帝2 天前
SpinalHDL之仿真(八)
fpga开发
电子小白的每一天2 天前
FPGA开发——基于Quartus的工程建立以及下载仿真全过程
vscode·fpga开发·fpga
cckkppll2 天前
vivado MAX_NAMES
fpga开发
nwpu0617013 天前
modelsim仿真quartus IP
fpga开发
十三啊嘞3 天前
高速总线概述(二):高速总线技术要点(比特流高速数据传输,编、解码、CRC校验及扰码结构,链路同步)
fpga开发·串行高速总线
神仙约架3 天前
【INTEL(ALTERA)】当使用 F-Tile 以太网 FPGA 硬 IP 并启用自动协商和链路训练 (AN/LT) 时,为什么链路训练无法完成?
网络协议·tcp/ip·fpga开发
张明阳.3 天前
调用IP实现数据加速
fpga开发
爱奔跑的虎子3 天前
详解DDR3原理以及使用Xilinx MIG IP核(app 接口)实现DDR3读写测试
fpga开发·fpga·vivado·xilinx·mig·ddr3