FPGA上板项目(二)——PLL测试

目录


实验内容

将差分时钟信号转化为 192MHz 时钟信号作为输出。

实验原理

PLL,即锁相环,一种反馈控制电路,具有时钟倍频、分频、相位偏移和可编程占空比的功能。

实验步骤

  • 添加 clocking wizard IP核,输入为 200MHz 差分时钟,输出为 192MHz 和 96MHz 时钟信号

  • 例化IP核

c 复制代码
/**********************************************
*********** PLL 例化
**********************************************/ 
    clk_wiz_0 inst_clk(
        // Clock out ports
        .clk_out1(sys_clk_192M),     // output clk_out1
        .clk_out2(sys_clk_96M),     // output clk_out2
        // Status and control signals
        .reset(!rst), // input reset
        .locked(locked),       // output locked
       // Clock in ports
        .clk_in1_p(sys_clk_p),    // input clk_in1_p
        .clk_in1_n(sys_clk_n)    // input clk_in1_n
    );

实验结果

  • 可以从图中看出,产生所需频率的时钟信号需要一定的时间,locked 信号为高电平时才会输出稳定的时钟信号,输出频率满足设定要求,在 locked 信号拉高之前,时钟信号不稳定。
  • 调用 clocking wizard IP 核后,IP 核会自动添加约束,可以在 constraints wizard -> existing create clock constraints 里面来查看。
相关推荐
W-ilde1 天前
ZYNQ 7020 学习记录-1点灯
学习·fpga开发
乌恩大侠1 天前
thetas计算
fpga开发
Tronlong创龙1 天前
B码对时案例分享,基于RK3568J+Logos-2,让电力设备轻松实现“高精度授时”!
arm开发·单片机·嵌入式硬件·fpga开发·硬件工程
十六追梦记1 天前
Verilog刷题笔记57
笔记·fpga开发
移知2 天前
基于FPGA的ASIC prototype验证
fpga开发·asic
qq_416560202 天前
fmql之linux入门
linux·fpga开发
9527华安2 天前
FPGA实现SDI视频缩放转PCIE传输,基于GTX+RIFFA架构,提供4套工程源码和技术支持
fpga开发·pcie·图像缩放·sdi·gtx·riffa
爱奔跑的虎子2 天前
FPGA跨时钟域处理
fpga开发·fpga·vivado·xilinx·跨时钟域
tiger1192 天前
FPGA 如何进入 AI 领域的思考
人工智能·深度学习·fpga开发·aigc
9527华安3 天前
FPGA实现SDI视频缩放转PCIE传输,基于GS2971+RIFFA架构,提供6套工程源码和技术支持
fpga开发·pcie·图像缩放·sdi·gs2971·riffa