FPGA实验2:2选1多路选择器

一、实验目的与要求

  • 学习Create-SOPC实验平台的使用方法;
  • 熟悉Quartus II 软件平台和使用 VHDL 语言设计电路的方法;
  • 掌握VHDL语言的基本语法和语句;
  • 把握VHDL程序设计组合逻辑电路的基本结构和设计特点。

二、实验原理

运用Quartus II 集成环境下的VHDL文本设计方法设计2选1多路选择器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能测试。

三、实验内容和步骤

实验步骤和方法参考实验一,引脚分配可参考下表。

|------|--------|---------|------|---------|------|
| 引脚名称 | 引脚编号 | 连接网络 | 引脚名称 | 引脚编号 | 连接网络 |
| a | PIN_M3 | FPGA_M1 | sel | PIN_T10 | KEY1 |
| b | PIN_M4 | FPGA_M2 | q | PIN_R10 | LED1 |

四、实验代码

相关推荐
一口一口吃成大V2 小时前
FPGA随记——FPGA时序优化小经验
fpga开发
贾saisai3 小时前
Xilinx系FPGA学习笔记(九)DDR3学习
笔记·学习·fpga开发
redcocal8 小时前
地平线秋招
python·嵌入式硬件·算法·fpga开发·求职招聘
思尔芯S2C1 天前
高密原型验证系统解决方案(下篇)
fpga开发·soc设计·debugging·fpga原型验证·prototyping·深度调试·多fpga 调试
坚持每天写程序1 天前
xilinx vivado PULLMODE 设置思路
fpga开发
redcocal2 天前
地平线内推码 kbrfck
c++·嵌入式硬件·mcu·算法·fpga开发·求职招聘
邹莉斯3 天前
FPGA基本结构和简单原理
fpga开发·硬件工程
悲喜自渡7213 天前
易灵思FPGA开发(一)——软件安装
fpga开发
ZxsLoves3 天前
【【通信协议ARP的verilog实现】】
fpga开发
爱奔跑的虎子3 天前
FPGA与Matlab图像处理之伽马校正
图像处理·matlab·fpga开发·fpga·vivado·xilinx