FPGA开发——verilog随机涵数$random的使用方法

一、概述

我们进行FPGA开发的过程中在做仿真的时候,难免会需要一些数据作为输入。有的时候需要输入大量的数据对于设计结果进行一个验证,如果逐个去进行输入,就需要花费大量的时间。这种情况下我们通常会想到使用随机数。随机数在我们的日常开发中非常常见。不管是在软件编程还是硬件开发中,应用都非常广泛。

在FPGA开发中我们同样也可以使用Verilog提供的随机数生成系统任务$random来帮助生成大量的随机数。

二、调用方法分类

前面两种都是直接对于$random进行一个调用,产生的结果都是一致的,而第三种就和前面两种不一样。

random、random():

random的返回值是一个32位的整数,但是有时不见得需要这么大的数。如果希望随机数的值能固定在某个范围,那么可以这么使用:random%b;那么生成的随机数的范围就是 [ ( -b+1 ) : (b- 1 ) ]。 这其实就是对b取余。

此外,如果我们希望只生成正数范围内的随机数,那么可以这么使用:{random}%b;那么生成的随机数的范围就是 \[0 : (b - 1 )\]。 **random(seed):**

这种写法与上面两种不同,给random传入了参数seed,因此random根据seed来产生随机数。seed不同,产生的随机数的序列也不同。而且,每执行一次$random(seed)产生一个随机数,seed也自动更新一次。

三、 $random的调用

1)$random

rand=$random % 52; //产生一个在 -52---52范围的随机数。

rand={$random} % 52; //通过位拼接操作{}产生0---52范围的随机数

**rand = 10+{$random}%(10-1+1)//**产生一个在1, 10之间随机数的例子

2)$random(seed)

$random(1)//这里当括号里面的值不发生改变时,每次随机都会产生同一个数。

相关推荐
IM_DALLA12 小时前
【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL21
学习·fpga开发
皇华ameya16 小时前
AMEYA360:村田电子更适合薄型设计应用场景的3.3V输入、12A输出的DCDC转换IC
fpga开发
千穹凌帝19 小时前
SpinalHDL之结构(二)
开发语言·前端·fpga开发
一口一口吃成大V1 天前
FPGA随记——FPGA时序优化小经验
fpga开发
贾saisai1 天前
Xilinx系FPGA学习笔记(九)DDR3学习
笔记·学习·fpga开发
redcocal1 天前
地平线秋招
python·嵌入式硬件·算法·fpga开发·求职招聘
思尔芯S2C2 天前
高密原型验证系统解决方案(下篇)
fpga开发·soc设计·debugging·fpga原型验证·prototyping·深度调试·多fpga 调试
坚持每天写程序2 天前
xilinx vivado PULLMODE 设置思路
fpga开发
redcocal2 天前
地平线内推码 kbrfck
c++·嵌入式硬件·mcu·算法·fpga开发·求职招聘
行者..................3 天前
调试、开发板、串口、Vitis、源码。
fpga