【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL63

并串转换

描述

题目描述:

设计一个模块进行并串转换,要求每四位d输为转到一位dout输出,输出valid_in表示此时的输入有效。

信号示意图:

clk为时钟

rst为低电平复位

valid_in 表示输入有效

d 信号输入

dout 信号输出

波形示意图:

输入描述:

clk为时钟

rst为低电平复位

d 信号输入

输出描述:

dout 信号输出

valid_in 表示输入有效

解题思路

并串转换的思路一般是使用移位寄存器的思想------根据并行数据的位数n对应设置n个寄存器,将并行数据依次存入移存器中,并在每个时钟周期下进行移动;

根据题干中波形图的描述(如红色方框所示):

可以发现,valid_in信号通常在第4个周期为1(有效),在其他三个周期为0;

问题:我感觉这个d[3:0]的数据和dout对不上,看着很奇怪;

设计思路:

①计数器模块

设置一个计数器,循环计数0~3

cpp 复制代码
reg [1:0] cnt;
//计数器cnt部分
/*注意: 此处必须为非阻塞赋值语句*/
always @(posedge clk or negedge rst) begin
	if (!rst) cnt <= 2'b00;
	else cnt <= cnt + 2'b01;
end
②移存器部分
cpp 复制代码
//移位寄存器部分
always @(posedge clk or negedge rst) begin
	if (!rst) begin
		dout_r <= 4'd0;
		valid_in_r <= 1'b0;
	end
	else begin
		if (cnt == 2'b11) begin 
			dout_r <= d;  
			valid_in_r <= 1'b1; 
		end
		else begin 
			dout_r[3:0] <= {dout_r[2],dout_r[1],dout_r[0],dout_r[3]}; 
			valid_in_r <= 1'b0; 

		end
	end
end

assign dout = dout_r[3];
assign valid_in = valid_in_r;

完整代码

cpp 复制代码
`timescale 1ns/1ns
module huawei5(
	input wire clk  ,
	input wire rst  ,
	input wire [3:0]d ,
	output wire valid_in ,
	output wire dout
	);

//*************code***********//
reg valid_in_r;
reg [3:0] dout_r;

reg [1:0] cnt;
//计数器cnt部分
/*注意: 此处必须为非阻塞赋值语句*/
always @(posedge clk or negedge rst) begin
	if (!rst) cnt <= 2'b00;
	else cnt <= cnt + 2'b01;
end
//移位寄存器部分
always @(posedge clk or negedge rst) begin
	if (!rst) begin
		dout_r <= 4'd0;
		valid_in_r <= 1'b0;
	end
	else begin
		if (cnt == 2'b11) begin 
			dout_r <= d;  
			valid_in_r <= 1'b1; 
		end
		else begin 
			dout_r[3:0] <= {dout_r[2],dout_r[1],dout_r[0],dout_r[3]}; 
			valid_in_r <= 1'b0; 

		end
	end
end

assign dout = dout_r[3];
assign valid_in = valid_in_r;

//*************code***********//

endmodule
相关推荐
v(kaic_kaic)25 分钟前
基于STM32热力二级管网远程监控系统设计(论文+源码)_kaic
android·数据库·学习·mongodb·微信·目标跟踪·小程序
Red Red32 分钟前
秋招|面试|群面|求职
笔记·学习·面试·职场和发展
夏微凉.2 小时前
【RabbitMQ】RabbitMQ 的概念以及使用RabbitMQ编写生产者消费者代码
linux·学习·rabbitmq
艾伦~耶格尔2 小时前
Java 之注解详解
java·开发语言·学习
AIGC破防黑吗喽2 小时前
Stable Diffusion零基础学习
gpt·学习·ai·stable diffusion·学习方法·ai绘画
江凡心2 小时前
Qt 每日面试题 -5
服务器·数据库·qt·学习·面试
EterNity_TiMe_2 小时前
【Linux基础IO】深入解析Linux基础IO缓冲区机制:提升文件操作效率的关键
linux·运维·服务器·开发语言·学习·性能优化·学习方法
4647的码农历程3 小时前
Linux学习之路 -- 线程 -- 条件变量与生产消费模型
学习
ulimpid4 小时前
Git | Dockerized GitLab 安装使用(简单实操版)
学习·docker·gitlab
_Soy_Milk5 小时前
后端学习路线
java·学习·go