【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL70

序列检测器(Moore型)

描述

请用Moore型状态机实现序列"1101"从左至右的不重叠检测

电路的接口如下图所示。当检测到"1101 ",Y输出一个时钟周期的高电平脉冲

接口电路图如下:

输入描述:

input clk ,

input rst_n ,

input din ,

输出描述:

output reg Y

解题思路

我们给出以下两种解题思路

  • Moore型状态机
  • 一般时序逻辑电路

Moore型状态机

moore型状态机的输出仅依赖于当前状态而与输入无关

设置以下状态:

IDLE(S0):初始状态,表示电路还没有收到任何一个有效数值

S1 :表示电路收到了一个有效的"1";

S2 :表示电路收到了两个连续有效数据"11";

S3 :表示电路收到了三个连续有效数据"110";

S4 :表示电路收到了四个连续有效数据"1101";

原始状态图

根据题目中要求*"当检测到"1101",Y输出一个时钟周期的高电平脉冲。"* ,画出其(Moore型)原始状态图

原始状态表

对应地,给出其原始状态表

采用状态机三段式写法:

代码如下:
cpp 复制代码
`timescale 1ns/1ns

module det_moore(
   input                clk   ,
   input                rst_n ,
   input                din   ,
 
   output	reg         Y   
);

reg [3:0] current_state, next_state;

parameter [3:0] IDLE =4'b0000;
parameter [3:0] S1 =4'b0001;
parameter [3:0] S2 =4'b0011;
parameter [3:0] S3 =4'b0110;
parameter [3:0] S4 =4'b1101;

always @(posedge clk or negedge rst_n) begin
    if (!rst_n) current_state <= IDLE;
    else        current_state <= next_state;
end

always @(*) begin
    case (current_state)
    IDLE: begin if(din == 1'b1) next_state = S1; else next_state = IDLE; end
    S1: begin if(din == 1'b1) next_state = S2; else next_state = IDLE; end    
    S2: begin if(din == 1'b0) next_state = S3; else next_state = S2; end    
    S3: begin if(din == 1'b1) next_state = S4; else next_state = S3; end    
    S4: begin if(din == 1'b1) next_state = S1; else next_state = IDLE; end 
    default: next_state = IDLE;
    endcase
end
//输出Y仅与当前状态S有关,与输入din取值无关
always @(posedge clk or negedge rst_n) begin
    if (!rst_n) Y = 1'b0;
    else begin
        case (current_state) 
            IDLE: Y = 1'b0;
            S1:   Y = 1'b0;
            S2:   Y = 1'b0;
            S3:   Y = 1'b0;
            S4:   Y = 1'b1;
            default:Y = 1'b0;
        endcase
    end
end

endmodule

一般时序逻辑电路设计方法

二进制状态表

将状态S0~S4 进行依次编号,即:000~100 ;因此,可将上述的原始状态表化为如下所示的二进制状态表

卡诺图

根据二进制状态表画出次态卡诺图

确定激励函数输出函数

代码如下:
cpp 复制代码
`timescale 1ns/1ns

module det_moore(
   input                clk   ,
   input                rst_n ,
   input                din   ,
 
   output	reg         Y   
);

//代码二
wire d0,d1,d2,q0,q1,q2;

assign d2 = din&q0&q1&~q2;
assign d1 = din&q0&~q1&~q2 | ~q0&q1&~q2;
assign d0 = ~din&~q0&q1&~q2 | din&~q0&~q1;
assign y =  ~q0&~q1&q2;

DFF D0(.clk(clk), .rst_n(rst_n), .D(d0), .Q(q0));
DFF D1(.clk(clk), .rst_n(rst_n), .D(d1), .Q(q1));
DFF D2(.clk(clk), .rst_n(rst_n), .D(d2), .Q(q2));

always @(posedge clk or negedge rst_n) begin
    if (!rst_n) Y <= 1'b0;
    else        Y = ~q0&~q1&q2;
end
endmodule

module DFF(
   input                clk   ,
   input                rst_n ,
   input                D     ,
 
   output	reg         Q    

); 
always@(posedge clk or negedge rst_n) begin
    if (!rst_n) Q <= 1'b0;
    else        Q <= D;
end
endmodule
相关推荐
limengshi1383921 小时前
通信工程学习:什么是IP网际协议
网络·网络协议·学习·tcp/ip·信息与通信
布丁不叮早起枣祈3 小时前
10.6学习
学习
望森FPGA3 小时前
HDLBits中文版,标准参考答案 | 3.1.2 Multiplexers | 多路复用器
学习·fpga开发
985小水博一枚呀3 小时前
【深度学习基础模型】稀疏自编码器 (Sparse Autoencoders, SAE)详细理解并附实现代码。
人工智能·python·深度学习·学习·sae·autoencoder
小魏冬琅3 小时前
命题逻辑与谓词逻辑 - 离散数学系列(二)
学习
9毫米的幻想3 小时前
【C++】—— 继承(上)
c语言·开发语言·jvm·c++·学习
mljy.4 小时前
C++《string》
c++·学习
xs_20125 小时前
Python selenium库学习使用实操二
python·学习·selenium
xiandong206 小时前
240930_CycleGAN循环生成对抗网络
图像处理·人工智能·深度学习·神经网络·学习·生成对抗网络