异步复位同步释放

verilog 复制代码
`timescale 1ns / 1ps 
//同步复位 异步释放  并且将输出的信号转换成高电平有效
module reset(
    input        clk  ,
    input        rst_n,
    output       reset
    );
reg    reset1;
reg    reset2;


always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
    reset1<=1'b1;
    reset2<=1'b1;
    end
    else begin
    reset1<=(~rst_n);
    reset2<=reset1  ;
    end
end
assign reset=reset2;  
endmodule

一个语句块对多个reg赋值的时候用begin end嵌套

相关推荐
ShiMetaPi1 天前
GM-3568JHF丨ARM+FPGA异构开发板系列教程:外设教程 05 蓝牙
arm开发·fpga开发·fpga·rk3568
才鲸嵌入式5 天前
香山CPU(国产开源)的 SoC SDK底层程序编写,以及其它开源SoC芯片介绍
c语言·单片机·嵌入式·arm·cpu·verilog·fpga
FPGA小迷弟5 天前
基于FPGA开发高速ADC/DAC芯片笔记
图像处理·fpga开发·数据采集·fpga·adc
ShiMetaPi8 天前
GM-3568JHF丨ARM+FPGA异构开发板系列教程:外设教程 04 WIFI
网络·arm开发·fpga开发·智能路由器·fpga
ALINX技术博客8 天前
【新品解读】5G/6G 基带系统级验证,AXVU13G 如何缩短高速系统研发周期
5g·fpga开发·fpga
AllenGates10 天前
Pynq中SD卡写入image - Writing an SD Card Image
fpga·pynq
XINVRY-FPGA11 天前
XC7Z030-2SBG485I Xilinx Zynq-7000 系列 SoC FPGA
嵌入式硬件·fpga开发·硬件工程·fpga
s090713613 天前
Xilinx FPGA 中ADC 数据下变频+ CIC 滤波
算法·fpga开发·fpga·zynq
Punchline_c13 天前
IP核之FIFO
fpga·fifo
坏孩子的诺亚方舟14 天前
FPGA系统架构设计实践10_时钟网络
fpga·xilinx·时钟网络