异步复位同步释放

verilog 复制代码
`timescale 1ns / 1ps 
//同步复位 异步释放  并且将输出的信号转换成高电平有效
module reset(
    input        clk  ,
    input        rst_n,
    output       reset
    );
reg    reset1;
reg    reset2;


always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
    reset1<=1'b1;
    reset2<=1'b1;
    end
    else begin
    reset1<=(~rst_n);
    reset2<=reset1  ;
    end
end
assign reset=reset2;  
endmodule

一个语句块对多个reg赋值的时候用begin end嵌套

相关推荐
XINVRY-FPGA16 小时前
XC7VX690T-2FFG1761I Xilinx AMD FPGA Virtex-7
arm开发·嵌入式硬件·fpga开发·硬件工程·fpga
Js_cold20 小时前
Xilinx FPGA温度等级及选型建议
fpga开发·fpga·vivado·xilinx
ShiMetaPi2 天前
GM-3568JHF丨ARM+FPGA异构开发板应用开发教程:01 UART读写案例
arm开发·单片机·嵌入式硬件·fpga开发·fpga·rk3568
XINVRY-FPGA4 天前
XCZU47DR-2FFVE1156I XilinxFPGA Zynq UltraScale+ RFSoC
嵌入式硬件·fpga开发·云计算·硬件工程·射频工程·fpga
ALINX技术博客9 天前
【ALINX 教程】FPGA Multiboot 功能实现——基于 ALINX Artix US+ AXAU25 开发板
fpga开发·fpga
jz_ddk9 天前
[实战] Zynq-7000 PCAP接口完全指南
fpga·ps·zynq·pcap·pl
林伟_fpga9 天前
从体系结构的维度认知FPGA
系统架构·fpga
Joshua-a10 天前
FPGA基于计数器的分频器时序违例的解决方法
嵌入式硬件·fpga开发·fpga
unicrom_深圳市由你创科技12 天前
专业fpga定制开发解决方案
fpga开发·fpga
FPGA小迷弟14 天前
ModelSim操作教程 详细操作手册【一】
fpga开发·fpga·modelsim·fpga仿真·rtl仿真