FPGA设计中IOB约束

IOB,是Input Output Buffer的缩写,

Vivado工具对IOB约束的英文解释为:Place Register into IOB,

IOB约束多用于高速数据采样中,用于减小输入信号引脚到寄存器的路径延时,同时也用于保证多次综合后的路径延时基本不变。

Verilog中IOB约束的例子:

复制代码
(*IOB="true"*)reg adc_in_iob;

always @(posedge clk) begin
	if(rst)
		adc_in_iob <= 1'b0;
	else
		adc_in_iob <= adc_in;
end

VHDL中IOB约束的例子:

复制代码
signal cmos_din_iob : std_logic_vector(3 downto 0);
attribute IOB : string;
attribute IOB of cmos_din_iob : signal is "TRUE";

process(clk,rst)
begin
	if rst ='1' then
		cmos_din_iob <= (others=>'0');
	elsif clk'event and clk='1' then
		cmos_din_iob <= cmos_din;
	end if;
end process;
相关推荐
李永奉1 小时前
C语言-指针初级(指针定义、指针的作用、指针的计算、野指针、悬空指针、void类型指针)
c语言·开发语言
Full Stack Developme1 小时前
Java 日期时间处理:分类、用途与性能分析
java·开发语言·数据库
2301_793086875 小时前
JVM 01 运行区域
java·开发语言
愤怒的小鸟~~~5 小时前
c语言创建的一个队列结构(含有这个头指针和这个尾指针的结构具有一定的参考价值)
c语言·开发语言·算法
鹿野素材屋7 小时前
C#中对于List的多种排序方式
开发语言·c#
whxnchy7 小时前
C++刷题 - 7.27
开发语言·c++
白日梦想家-K8 小时前
题单【模拟与高精度】
开发语言·c++·算法
鹦鹉0078 小时前
IO流中的字节流
java·开发语言·后端
重生之我是Java开发战士8 小时前
【C语言】内存函数与数据在内存中的存储
c语言·开发语言·算法
haaaaaaarry9 小时前
Element Plus常见基础组件(二)
开发语言·前端·javascript