FPGA设计中IOB约束

IOB,是Input Output Buffer的缩写,

Vivado工具对IOB约束的英文解释为:Place Register into IOB,

IOB约束多用于高速数据采样中,用于减小输入信号引脚到寄存器的路径延时,同时也用于保证多次综合后的路径延时基本不变。

Verilog中IOB约束的例子:

复制代码
(*IOB="true"*)reg adc_in_iob;

always @(posedge clk) begin
	if(rst)
		adc_in_iob <= 1'b0;
	else
		adc_in_iob <= adc_in;
end

VHDL中IOB约束的例子:

复制代码
signal cmos_din_iob : std_logic_vector(3 downto 0);
attribute IOB : string;
attribute IOB of cmos_din_iob : signal is "TRUE";

process(clk,rst)
begin
	if rst ='1' then
		cmos_din_iob <= (others=>'0');
	elsif clk'event and clk='1' then
		cmos_din_iob <= cmos_din;
	end if;
end process;
相关推荐
尘浮72810 分钟前
60天python训练计划----day45
开发语言·python
sss191s15 分钟前
校招 java 面试基础题目及解析
java·开发语言·面试
sduwcgg42 分钟前
python的numpy的MKL加速
开发语言·python·numpy
钢铁男儿1 小时前
Python 接口:从协议到抽象基 类(定义并使用一个抽象基类)
开发语言·python
暴力求解1 小时前
C++类和对象(上)
开发语言·c++·算法
让我们一起加油好吗1 小时前
【基础算法】枚举(普通枚举、二进制枚举)
开发语言·c++·算法·二进制·枚举·位运算
大锦终1 小时前
【C++】特殊类设计
开发语言·c++
Bruce_Liuxiaowei2 小时前
PHP文件包含漏洞详解:原理、利用与防御
开发语言·网络安全·php·文件包含
泽02022 小时前
C++之STL--list
开发语言·c++·list
YGGP2 小时前
吃透 Golang 基础:数据结构之 Map
开发语言·数据结构·golang