FPGA设计中IOB约束

IOB,是Input Output Buffer的缩写,

Vivado工具对IOB约束的英文解释为:Place Register into IOB,

IOB约束多用于高速数据采样中,用于减小输入信号引脚到寄存器的路径延时,同时也用于保证多次综合后的路径延时基本不变。

Verilog中IOB约束的例子:

复制代码
(*IOB="true"*)reg adc_in_iob;

always @(posedge clk) begin
	if(rst)
		adc_in_iob <= 1'b0;
	else
		adc_in_iob <= adc_in;
end

VHDL中IOB约束的例子:

复制代码
signal cmos_din_iob : std_logic_vector(3 downto 0);
attribute IOB : string;
attribute IOB of cmos_din_iob : signal is "TRUE";

process(clk,rst)
begin
	if rst ='1' then
		cmos_din_iob <= (others=>'0');
	elsif clk'event and clk='1' then
		cmos_din_iob <= cmos_din;
	end if;
end process;
相关推荐
第二层皮-合肥21 分钟前
基于FPGA的雷达信号处理设计工具包分享
fpga开发·信号处理
沐知全栈开发28 分钟前
NumPy 统计函数
开发语言
青光键主1 小时前
C语言内功强化之const修饰指针
c语言·开发语言
美好的事情总会发生1 小时前
FPGA的LVDS接口电压
嵌入式硬件·fpga开发·硬件工程·智能硬件
骷大人2 小时前
php安装skywalking_agent
开发语言·php·skywalking
恋恋西风2 小时前
Qt 打开文件列表选择文件,实现拖拽方式打开文件,拖拽加载
开发语言·qt
闲人编程2 小时前
使用Python进行量化交易入门
开发语言·python·统计分析·lambda·量化·codecapsule
移远通信3 小时前
常见问题解答
开发语言·php
初见无风3 小时前
3.1 Lua代码中的元表与元方法
开发语言·lua·lua5.4
逻极3 小时前
Rust流程控制(上):if_else与match模式匹配
开发语言·后端·rust