FPGA设计中IOB约束

IOB,是Input Output Buffer的缩写,

Vivado工具对IOB约束的英文解释为:Place Register into IOB,

IOB约束多用于高速数据采样中,用于减小输入信号引脚到寄存器的路径延时,同时也用于保证多次综合后的路径延时基本不变。

Verilog中IOB约束的例子:

复制代码
(*IOB="true"*)reg adc_in_iob;

always @(posedge clk) begin
	if(rst)
		adc_in_iob <= 1'b0;
	else
		adc_in_iob <= adc_in;
end

VHDL中IOB约束的例子:

复制代码
signal cmos_din_iob : std_logic_vector(3 downto 0);
attribute IOB : string;
attribute IOB of cmos_din_iob : signal is "TRUE";

process(clk,rst)
begin
	if rst ='1' then
		cmos_din_iob <= (others=>'0');
	elsif clk'event and clk='1' then
		cmos_din_iob <= cmos_din;
	end if;
end process;
相关推荐
努力学习的小廉20 分钟前
【C++】 —— 笔试刷题day_9
开发语言·c++·代理模式
Yhame.21 分钟前
【 C 语言实现顺序表的基本操作】(数据结构)
c语言·开发语言·数据结构
eqwaak025 分钟前
京东商品爬虫技术解析:基于Selenium的自动化数据采集实战
开发语言·人工智能·爬虫·python·selenium·自动化
速盾cdn40 分钟前
速盾:Python可以用高防CDN吗?
开发语言·网络·python
Min_小明1 小时前
CMake 简单使用总结
android·开发语言·算法
demonlg01121 小时前
Go 语言标准库中strings和strconv详细功能介绍与示例
开发语言·后端·云原生·golang
__XYZ1 小时前
Vala 编程语言教程-继承
c语言·开发语言·c++·c#
郭涤生2 小时前
Chapter 3: Programming Paradigms_《clean architecture》notes
java·开发语言·c++·笔记
米芝鱼2 小时前
LearnOpenGL(九)自定义转换类
开发语言·c++·算法·游戏·图形渲染·shader·opengl
YGGP2 小时前
Golang 的 GMP 调度机制常见问题及解答
开发语言·网络·golang