FPGA设计中IOB约束

IOB,是Input Output Buffer的缩写,

Vivado工具对IOB约束的英文解释为:Place Register into IOB,

IOB约束多用于高速数据采样中,用于减小输入信号引脚到寄存器的路径延时,同时也用于保证多次综合后的路径延时基本不变。

Verilog中IOB约束的例子:

复制代码
(*IOB="true"*)reg adc_in_iob;

always @(posedge clk) begin
	if(rst)
		adc_in_iob <= 1'b0;
	else
		adc_in_iob <= adc_in;
end

VHDL中IOB约束的例子:

复制代码
signal cmos_din_iob : std_logic_vector(3 downto 0);
attribute IOB : string;
attribute IOB of cmos_din_iob : signal is "TRUE";

process(clk,rst)
begin
	if rst ='1' then
		cmos_din_iob <= (others=>'0');
	elsif clk'event and clk='1' then
		cmos_din_iob <= cmos_din;
	end if;
end process;
相关推荐
呆子罗15 小时前
原生JS请求API
开发语言·javascript·ecmascript
AI视觉网奇15 小时前
Meta-Llama-3.1-8B-bnb-4bit 下载加载
linux·开发语言·python
赵谨言15 小时前
基于OpenCV的人脸五官识别系统研究
大数据·开发语言·经验分享·python
Elnaij15 小时前
从C++开始的编程生活(15)——模板知识补充
开发语言·c++
gaize121315 小时前
如何配置一个!P地址和子网掩码?
开发语言·php
csbysj202015 小时前
广度优先遍历与最短路径
开发语言
Elnaij15 小时前
从C++开始的编程生活(16)——继承
开发语言·c++
纵有疾風起15 小时前
【C++11深度解析(2)】从新增类功能到智能指针的现代 C++ 核心新特性
开发语言·c++·经验分享·开源
Chase_______15 小时前
【JAVA基础指南(一)】快速掌握基础语法
java·开发语言
云雾J视界16 小时前
FPGA在AI时代的角色重塑:硬件可重构性与异构计算的完美结合
fpga开发·边缘计算·gpu·vitis·ai推理·azure云·异构编程