chisel

看未来捏18 天前
scala·verilog·chisel
【数字集成电路与系统设计】Chisel/Scala简介与Verilog介绍目录一、芯片前端设计开发背景知识·二、Verilog介绍2.1 硬件设计一些重要概念2.2 功能性仿真
神仙约架4 个月前
chisel·for
【chisel】chisel中for (i <- 0 until N-2) {} 的用法,表示什么?在 Chisel 中,for (i <- 0 until N-2) {} 是一个 for 循环的语法,它表示从 0 开始到 N-2 的整数(不包括 N-2),并对每个整数 i 执行大括号 {} 内的代码块。
神仙约架5 个月前
fpga开发·chisel
【chisel】学习chisel进行FPGA开发的步骤Chisel是一种可以用于FPGA开发的硬件构建语言,它由伯克利大学发布,是一种开源语言,支持高级硬件设计。
农民真快落4 个月前
fpga开发·riscv·chisel·一生一芯·cpu设计
【IC设计】任意倍数占空比为50%的奇数分频和偶数分频(Verilog源码、仿真波形、讲解)以四分频为例,分频后的一个周期是分频前的四个周期,并且分频后的一个周期中,一半是高电平,一半是低电平,这就是占空比为50%的四分频。 要实现该功能,使用一个计数器在0~3之间计数,clk_out在0和2时翻转即可。
神仙约架5 个月前
scala·fpga·chisel·asic
【Chisel】chisel中怎么处理类似verilog的可变位宽和parameter在 Chisel 中处理可变位宽和参数的方式与 Verilog 有一些不同,因为 Chisel 是建立在 Scala 语言之上的。以下是如何在 Chisel 中处理这些概念的方法:
半夏之夜5 个月前
chisel·chisel3
Chisel3 入门 (1)chisel提供三种类型数据类型描述信号连接、组合逻辑、寄存器:创建变量 val signal_a = Bits(8.W) 定义 8-bit Bits类型变量
农民真快落6 个月前
fpga开发·riscv·chisel·一生一芯·cpu设计
【程序人生】研二下学期快结束了~~~~随便写写天啦撸,时间过得好快,2022年3月被录取,9月入学,在上海上课一年,23年7月底来北京,现在已经24年4月了。 再过2个月,研二就算结束了。
农民真快落7 个月前
scala·ic设计·chisel·noc·一生一芯
【异常处理】sbt构建Chisel库时出现extracting structure failed:build status:error的解决办法最近在写Chisel时,构建项目常常需要等待很久,然后报错extracting structure failed:build status:error 这个报错实际上告诉我们,在build.sbt中指定的依赖没有下载到,导致依赖的结构无法实现。
农民真快落8 个月前
scala·ic设计·risc-v·chisel·一生一芯
【IC设计】Windows下基于IDEA的Chisel环境安装教程(图文并茂)传统数字芯片的RTL设计采用Verilog语言为主,Chisel语言的全称是Constructing Harward in Scala Embeded Language,即在Scala语言中导入Chisel3库,即可使用Chisel语言。其特点是面向对象编程,可以方便地参数化定制硬件电路,加快设计流程。目前在RISC-V生态中应用较多,中科院计算所主持的培育下一代处理器设计人才的“一生一芯”项目也在极力推进该语言。
铭....1 年前
scala·chisel
一生一芯18——Chisel模板与Chisel工程构建Chisel模板链接如下: 链接: https://pan.baidu.com/s/1DNDKpz5VnTxPgoZBBOd-Ww?pwd=revg 提取码: revg Chisel转Verilog模板如下: 链接: https://pan.baidu.com/s/1T9JQL5BccxqI4bscfU-JyA?pwd=7rw2 提取码: 7rw2
前滩西岸1 年前
scala·chisel
AXI4Arbiter object scala code readingobject AXI4Arbiter { def apply[T <: Data](policy: TLArbiter.Policy)(sink: IrrevocableIO[T], sources: IrrevocableIO[T]*): Unit = { if (sources.isEmpty) { sink.valid := false.B } else { returnWinner(policy)(sink, sources:_*) } } def returnWinner[T <: Data](
前滩西岸1 年前
risc-v·chisel·rocketchip
rocket-chip verilator@ubuntu20.04验证环境操作指南rocket-chip verilator@ubuntu20.04验证环境操作指南 ======================================================== rocket-tools版本号 * (HEAD detached at 2022.12.26) ----------------------------------------------------------------------------------------------- export RISCV
前滩西岸1 年前
chisel·rocketchip
BufferParams in diplomacy-Parameter.scalacase class BufferParams(depth: Int, flow: Boolean, pipe: Boolean) { require (depth >= 0, "Buffer depth must be >= 0") def isDefined = depth > 0 def latency = if (isDefined && !flow) 1 else 0
铭....1 年前
scala·chisel
一生一芯14——chisel环境搭建本人使用的ubuntu版本为22.04 anaconda 版本为23.1.0 本博客参考自https://blog.csdn.net/qq_38798111/article/details/129190615?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522169465704516800222836484%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request
农民真快落1 年前
fpga·ic设计·riscv·chisel
【IC设计】Chisel开发环境搭建首先安装一个Ubuntu的虚拟机然后给Ubuntu换个镜像,方便下载 注意换源后使用apt-get update更新下
前滩西岸1 年前
scala·chisel·sbt
RISCV-MINI环境安装与编译指南======== setup basic environment ========= install ubuntu20.04-LTS sudo apt install default-jre sudo apt install git curl build-essential cmake flex bison gawk sudo apt install libgmp-dev libmpfr-dev libmpc-dev
前滩西岸1 年前
chisel·rocketchip·chipyard
CHIPYARD环境安装与编译指南======== setup basic environment ========= ubuntu20.04-LTS sudo apt install jq device-tree-compiler ninja-build