Synopsys EDA数字设计与仿真

搭建EDA环境

参考如下博文安装Synopsys EDA开发工具

https://blog.csdn.net/tugouxp/article/details/132255002?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22132255002%22%2C%22source%22%3A%22tugouxp%22%7D

Synopsys EDA工具的结构

下面使用Synopsys的EDA数字综合仿真工具直观感受以下数字设计的基本流程:

数字模块设计&仿真

counter_tb.v

复制代码
`include "timescale.v"
module counter_tb;
  reg irst = 0;
  reg iclk = 0;
  wire [3:0] ocnt;
  initial begin
    irst = 1; #100;
    irst = 0; #300;
    $stop;
    #1000;
    $finish;
  end
  always begin #5 iclk = !iclk; end
  counter counter_test(
    .irst(irst),
    .iclk(iclk),
    .ocnt(ocnt)
  );
  initial
  $monitor("At time %t, ocnt = %d", $time, ocnt);
  initial
  begin
    //$dumpfile("counter_test.vcd");  //$dumpvars(0, counter_test);
    $fsdbDumpfile("counter_tb.fsdb"); //testbench的名字       
    $fsdbDumpvars();
    $fsdbDumpSVA();
    $fsdbDumpMDA();
  end
endmodule

timescale.v

复制代码
`timescale 1ns/1ps

counter.v

复制代码
`include "timescale.v"
module counter(irst, iclk, ocnt );
  input irst, iclk;
  output reg [3:0] ocnt;
  always @ (posedge iclk)
    if(irst)
      ocnt <= 4'b0000;
    else
      ocnt <= ocnt + 1'b1;
endmodule

Makefile

复制代码
all:
	iverilog -o counter_test $(notdir $(wildcard ./*.v))
	vvp -n counter_test -lxt2
	cp counter_test.vcd counter_test.lxt

sim:
	gtkwave counter_test.lxt

vcs:
	vcs -R -full64 -timescale=1ns/1ns -fsdb -f file.l

verdi:
	verdi -f file.l -ssf counter_tb.fsdb

clean:
	rm -fr *.lxt *.vcd
	rm -fr counter_test

file.l 是verilog源码清单文件:

复制代码
counter_tb.v
counter.v
timescale.v

VCS编译&综合,执行make vcs:

复制代码
vcs -R -full64 -timescale=1ns/1ns -fsdb -f file.l

verdi查看波形,q退出ucli%,执行make verdi,实际上是执行如下命令查看波形:

复制代码
verdi -f file.l -ssf counter_tb.fsdb

Unified Command Line Interface (uCLi) 提供了与VCS交互仿真的控制命令,即与VCS的命令行输入接口。uCLi的命令是基于Tcl脚本语言进行编写的,也就是说可以编写Tcl脚本来实现:

  • 控制仿真
  • dump fsdb或者vpd波形文件
  • 保存或恢复仿真状态
  • force或release某个信号
  • 使用breakpoints或scope以及内建宏等信息对设计进行调试

因此可以很灵活的进行调试和仿真控制。

波形和GTKWAVE得到的波形是一样的:

FSDB全称是Fast Signal DataBase,是 Verdi 支持的波形文件,一般较小,使用较为广泛。

fsdb文件是verdi使用一种专用的数据格式,类似于VCD(Value Change Dump),但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就像对VCD数据进行了一次huffman编码。因此fsdb数据量小,而且会提高仿真速度。VCD文件是文本格式的文件,它是使用verilog内置的系统函数来实现的,是 Verilog HDL语言标准的一部分,因此所有的verilog的仿真器都能够查看该文件,允许用户在verilog代码中通过系统函数来dump VCD文件。

使用VCS提供的工具fsdb2vcd可以将fsdb格式的波形文件转换为VCD格式,之后再用gtkwave查看,转换命令如下,下图是FSDB转换为VCD格式的波形文件后的显示效果:

复制代码
fsdb2vcd counter_tb.fsdb | tee a.vcd

参考资料

https://www.cnblogs.com/lzhj/p/15719777.html


结束

相关推荐
易享电子1 小时前
基于单片机大棚浇水灌溉控制系统Proteus仿真(含全部资料)
单片机·嵌入式硬件·fpga开发·51单片机·proteus
cmc10283 小时前
127.XIlinx fpga端的pcie(XDMA)与驱动是如何交换数据的
笔记·fpga开发
荆白雪15 小时前
触摸按键控制LED
fpga开发
sz66cm20 小时前
FPGA基础 -- cocotb仿真之任务调度cocotb.start_soon与asyncio的使用注意事项
fpga开发
霖001 天前
ZYNQ裸机开发指南笔记
人工智能·经验分享·笔记·matlab·fpga开发·信号处理
tiantianuser1 天前
NVMe高速传输之摆脱XDMA设计52: 上板资源占用率分析
fpga开发·nvme·pcie·xdma·高性能nvme
我爱C编程1 天前
【仿真测试】基于FPGA的完整DQPSK通信链路实现,含频偏锁定,帧同步,定时点,Viterbi译码,信道,误码统计
fpga开发·帧同步·viterbi译码·dqpsk·频偏锁定·定时点
nnerddboy1 天前
FPGA自学笔记(正点原子ZYNQ7020):2.IP核与组成
fpga开发
碰大点1 天前
第8章 zynq uboot更新系统镜像并引导启动和个人心得
驱动开发·fpga开发·uboot·zynq
szxinmai主板定制专家1 天前
基于ARM+FPGA的无人机数据采集卡,6通道24bit采集
arm开发·嵌入式硬件·fpga开发·无人机·能源