vivado简单仿真入门

打开软件

创建工程

create project

 		![在这里插入图片描述](https://img-blog.csdnimg.cn/892eda626d394733920854b71ca8f726.png)

先next,保留工程路径,配置环境

配置芯片环境

本次芯片类型

xc7k325tffg900-2

创建之后完整的demo





编写仿真内容

python 复制代码
`timescale 1ns/1ps
module sim_top;
reg clk_1G;
initial begin
    clk_1G = 0;
end

always #0.5ns clk_1G = !clk_1G;

initial begin
    #10us;
    $finish;
end
endmodule

仿真结果

结果分析

间隔1ns

相关推荐
IM_DALLA2 小时前
【Verilog学习日常】—牛客网刷题—Verilog进阶挑战—VL25
学习·fpga开发·verilog学习
辣个蓝人QEX2 小时前
【FPGA开发】Modelsim如何给信号分组
fpga开发·modelsim·zynq
li星野6 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安6 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠7 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt7 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian11 小时前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt1 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程1 天前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt1 天前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie