Quartus 入门

转载请标明出处:https://www.cnblogs.com/leedsgarden/p/17790320.html

本文介绍的是Quartus的免费版,可以满足基本的教学需要

如果你用的是Xilinx的话,这篇文章不适合你。本文适用于Altera用户

下载安装

官方下载页面

Lite Edition 一栏,选择你的发行版,点击下载。

(下载可能比较慢,有条件可以挂代理)

下载后解压,双击Setup安装。

基本默认选项即可,会把帮助菜单和器件库全部安装完成。

联合ModelSim仿真

下载安装ModelSim

安装完成后,打开 Quartus,在Tools->Options...打开,设置路径,最后点击OK

示例

bash 复制代码
# windows
C:\\intelFPGA\20.1\modelsim_ase\win32aloem  # 默认

# Linux
~/intelFPGA/20.1/modelsim_ase/linuxaloem # 默认

创建工程项目

第一步

或者

File->New Project Wizard

第二步

选择路径加上项目名,在第二栏输入项目名,第三栏会自动补上。

第三步

选择空项目可

第四步

如果有文件,Add添加即可,我们这里跳过

第五步

这里按照器件选择,示例为 Cyclone IV E EP4CE6F17C8L

第六步

按照示例把1更改为ModelSim2更改为Verilog HDL

第七步

再次确认信息是否正确,点击Finish

添加 verilog 文件

File->New...

输入模块代码,然后用同样的方式创建testbench

verilog 复制代码
// First_DFF.v
module First_DFF(D, CLK, Q);
    input D, CLK;
    output Q;
    reg Q;
    always @(posedge CLK)
    begin
        Q <= D;
    end
endmodule
verilog 复制代码
// First_DFF_tb.v
`timescale 1ns / 10ps
module First_DFF_tb;
    reg clk;
    reg D;
    wire Q;

    First_DFF DFF(
        .CLK(clk),
        .D(D),
        .Q(Q)
    );

    always #10 clk = ~clk;

    initial begin
        clk = 1'b0;
        D = 1'b0;
        #20 D = 1'b1;
        #20 D = 1'b0;
        #20 D = 1'b1;
        #20 $stop;
    end

endmodule

编译并通过

选择 testbench

Assignment->Setting...

勾选2,点击 testbench

点击New。(表格空白不要慌,我的是已经添加好了的)

1输入testbench文件名,2中选择文件,点击Add添加即可。

然后一路 OK 回到主界面。

ModelSim 模拟

Tools->Run Simulation Tool->RTL Simulation

弹出 ModelSim 就算成功。

其他

相关推荐
stm 学习ing21 小时前
HDLBits训练3
c语言·经验分享·笔记·算法·fpga·eda·verilog hdl
tiger1191 天前
制造研发企业与IPD管理体系
项目管理·制造·fpga·芯片·半导体·ipd
超级大咸鱼1 天前
CW信号的正交解调
matlab·verilog·fpga·数字信号·解调·正交解调·cw
十三啊嘞3 天前
Vivado安装System Generator不支持新版Matlab解决方法
fpga·vivado
北城笑笑9 天前
FPGA 17 ,FPGA 与 SR-IOV虚拟化技术,高性能计算与虚拟化技术的结合(FPGA 与 SR-IOV 和 PCI,高性能计算与虚拟化的完美融合)
fpga开发·fpga
北城笑笑9 天前
FPGA 16 ,Verilog中的位宽:深入理解与应用
fpga开发·fpga
热爱学习地派大星9 天前
FPGA在线升级 -- Multiboot
fpga开发·verilog·fpga·远程升级·升级程序
杨德杰10 天前
Verilog实现图像处理的行缓存Line Buffer
图像处理·verilog·fpga·isp·行缓存linebuffer
玄奕子14 天前
通过Zynq FPGA对雷龙SD NAND进行测试
嵌入式·fpga·flash·sd nand·嵌入式驱动·雷龙
杨德杰14 天前
开源ISP介绍(2)————嵌入式Vitis搭建
图像处理·fpga·isp·视频处理·嵌入式vitis