北邮22级信通院数电:Verilog-FPGA(10)第十周实验 实现移位寄存器74LS595

北邮22信通一枚~

跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

持续关注作者 迎接数电实验学习~

获取更多文章,请访问专栏:

北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

目录

一.代码部分

二.管脚分配

三.实现过程讲解及效果


一.代码部分

shift_register.v

module shift_register
(
	input sclr_n,si,sck,rck,g_n,
	output qa,qb,qc,qd,qe,qf,qg,qh,qh_out
);

	reg [7:0] shift_dffs;

	always@(posedge sck or negedge sclr_n)
		begin 
			if(~sclr_n)
				shift_dffs[7:0]<=8'h00;
			else
				shift_dffs[7:0]<={shift_dffs[6:0],si};
		end
	
	reg [7:0] storge_dffs;
	
	always @ (posedge rck)
		begin 
			storge_dffs [7:0] <=shift_dffs[7:0];
		end
	assign qh_out=shift_dffs[7];
	assign {qh,qg,qf,qe,qd,qc,qb,qa} = g_n ? 8'bzzzz_zzzz : storge_dffs [7:0];
endmodule 

二.管脚分配

三.实现过程讲解及效果

相关推荐
apple_ttt17 小时前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程18 小时前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt19 小时前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~21 小时前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA1 天前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发
诚实可靠小郎君95271 天前
FPGA IO延迟的约束与脚本
fpga开发·fpga·数字电路
GGGLF2 天前
FPGA-UART串口接收模块的理解
fpga开发
北京太速科技股份有限公司2 天前
太速科技-495-定制化仪器户外便携式手提触摸一体机
fpga开发
9527华安2 天前
FPGA实现PCIE图片采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·pcie·xdma·hdmi
水饺编程2 天前
简易CPU设计入门:取指令(三),ip_buf与rd_en的非阻塞赋值
fpga开发