「Verilog学习笔记」任意小数分频

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module div_M_N(
    input  wire clk_in,
    input  wire rst,
    output wire clk_out
);
    parameter M_N = 8'd87; 
    parameter c89 = 8'd24; // 8/9时钟切换点
    parameter div_e = 5'd8; //偶数周期
    parameter div_o = 5'd9; //奇数周期
//*************code***********//
    reg [3:0] clk_cnt ; 
    reg [6:0] cyc_cnt ;
    reg div_flag ; 
    reg clk_out_r ; 

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) clk_cnt <= 0 ; 
        else if (~div_flag) clk_cnt <= clk_cnt == (div_e - 1) ? 0 : clk_cnt + 1 ; 
        else clk_cnt <= clk_cnt == (div_o - 1) ? 0 : clk_cnt + 1 ; 
    end

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) cyc_cnt <= 0 ; 
        else cyc_cnt <= cyc_cnt == (M_N - 1) ? 0 : cyc_cnt + 1 ; 
    end

    always @ (posedge clk_in or negedge rst) begin
        if (~rst) div_flag <= 0 ; 
        else div_flag <= cyc_cnt == (M_N - 1) || cyc_cnt == (c89 - 1) ? ~div_flag : div_flag ; 
    end

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) clk_out_r <= 0 ; 
        else if (~div_flag) clk_out_r <= clk_cnt <= ((div_e >> 2) + 1) ; // cnt == 0,1,2,3 out输出1
        else clk_out_r <= clk_cnt <= ((div_o >> 2) + 1) ;
    end

    assign clk_out = clk_out_r ; 

//*************code***********//
endmodule

参考资料:题解 | #任意小数分频#_牛客博客

相关推荐
Ylucius2 小时前
动态语言? 静态语言? ------区别何在?java,js,c,c++,python分给是静态or动态语言?
java·c语言·javascript·c++·python·学习
LvManBa2 小时前
Vue学习记录之六(组件实战及BEM框架了解)
vue.js·学习·rust
LvManBa2 小时前
Vue学习记录之三(ref全家桶)
javascript·vue.js·学习
洛寒瑜2 小时前
【读书笔记-《30天自制操作系统》-23】Day24
开发语言·汇编·笔记·操作系统·应用程序
星迹日3 小时前
C语言:联合和枚举
c语言·开发语言·经验分享·笔记
知识分享小能手3 小时前
mysql学习教程,从入门到精通,SQL DISTINCT 子句 (16)
大数据·开发语言·sql·学习·mysql·数据分析·数据库开发
我叫啥都行3 小时前
计算机基础知识复习9.7
运维·服务器·网络·笔记·后端
Galaxy.4043 小时前
基于深度学习的文本情感原因提取研究综述——论文阅读
论文阅读·笔记
余生的观澜4 小时前
4.铝箔缺陷检测项目复盘
笔记