【FPGA】篮球比赛计分器

前言

相比之前的秒表,这个题目的难度略有提升,虽然总体架构还是基于计数器的设计,但是需要添加其他的模块,还是有些挑战性的。

题目分析

一些错误,到时候要整理分析的

Error (10159): Verilog HDL error at control.v(22): "scorer" is not a task or void function

Error (10200): Verilog HDL Conditional Statement error at flashled.v(27): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct


后记

2023.12.13

好好好历时12个小时,终于给做出来了。好的方面讲,实现了基本功能,至少是一个符合要求的,按照规定能够使用的篮球比赛计分器;坏的方面讲,其实还有很多地方时作者不太满意的,时间充裕的情况下应该好好优化的,比如:顶层控制文件,又比如流水灯的控制端等等......

但是把,还有一个月就要期末考了,作者那成绩属实是惨不忍睹,雪上加霜的是,一边还有个"智能储物柜系统"没整完,所以这个项目就只能草草了事了,实在是惭愧。

关于代码和其他感悟,应该能在明天晚上发出来,到时候会上传全部文件的,需要的可以自取。

相关推荐
IM_DALLA6 小时前
【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL21
学习·fpga开发
皇华ameya10 小时前
AMEYA360:村田电子更适合薄型设计应用场景的3.3V输入、12A输出的DCDC转换IC
fpga开发
千穹凌帝13 小时前
SpinalHDL之结构(二)
开发语言·前端·fpga开发
一口一口吃成大V19 小时前
FPGA随记——FPGA时序优化小经验
fpga开发
贾saisai19 小时前
Xilinx系FPGA学习笔记(九)DDR3学习
笔记·学习·fpga开发
redcocal1 天前
地平线秋招
python·嵌入式硬件·算法·fpga开发·求职招聘
思尔芯S2C2 天前
高密原型验证系统解决方案(下篇)
fpga开发·soc设计·debugging·fpga原型验证·prototyping·深度调试·多fpga 调试
坚持每天写程序2 天前
xilinx vivado PULLMODE 设置思路
fpga开发
redcocal2 天前
地平线内推码 kbrfck
c++·嵌入式硬件·mcu·算法·fpga开发·求职招聘
邹莉斯3 天前
FPGA基本结构和简单原理
fpga开发·硬件工程