「Verilog学习笔记」时钟切换

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module huawei6(
	input wire clk0  ,
	input wire clk1  ,
	input wire rst  ,
	input wire sel ,
	output reg clk_out
);
//*************code***********//
	reg q0, q1 ;

	always @ (negedge clk0 or negedge rst) 
		if (!rst) q0 <= 0 ;
		else q0 <= ~sel & ~q1 ; 
	
	always @ (negedge clk1 or negedge rst) 
		if (!rst) q1 <= 0 ; 
		else q1 <= sel & ~q0 ; 
	
	always @ (*) 
		if (!rst) clk_out <= 0 ; 
		else clk_out = (q0 & clk0) | (q1 & clk1) ;

//*************code***********//
endmodule
相关推荐
挥剑决浮云 -7 分钟前
Linux 之 安装软件、GCC编译器、Linux 操作系统基础
linux·服务器·c语言·c++·经验分享·笔记
丶Darling.23 分钟前
LeetCode Hot100 | Day1 | 二叉树:二叉树的直径
数据结构·c++·学习·算法·leetcode·二叉树
辣个蓝人QEX32 分钟前
【FPGA开发】Modelsim如何给信号分组
fpga开发·modelsim·zynq
新晓·故知1 小时前
<基于递归实现线索二叉树的构造及遍历算法探讨>
数据结构·经验分享·笔记·算法·链表
魔理沙偷走了BUG2 小时前
【数学分析笔记】第4章第4节 复合函数求导法则及其应用(3)
笔记·数学分析
z樾2 小时前
Github界面学习
学习
道爷我悟了3 小时前
Vue入门-指令学习-v-html
vue.js·学习·html
NuyoahC3 小时前
算法笔记(十一)——优先级队列(堆)
c++·笔记·算法·优先级队列
li星野4 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010