【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能

方法1:

1.首先需要用一个数字IO的输入FPGA端口,并将其拖入程序框图中,同时创建一个循环。

2.如果想要在循环中实现累加功能,就可以使用移位寄存器。

数字输入的当前值和历史值进行比较,用于一个判断大于,来确定是否出现了上升沿。

同时如果想确认上升沿的次数也可以用移位寄存器,如果出现上升沿则计数加1,否则保持原值。

方法2:

1.使用IO的方法节点,FPGA I/O方法节点检测到边沿后,程序框图的计数器值加1,且将计数器的值存储在While循环的移位寄存器内。使用前面板显示控件或局部变量可查看计数器的值。

相关推荐
LabVIEW开发11 小时前
LabVIEW程序怎么解决 Bug?
bug·labview
LabVIEW开发2 天前
在LabVIEW中如何读取EXCEL
labview·读写excel·labview知识
LabVIEW开发5 天前
LabVIEW提高开发效率技巧----RT与FPGA模块
fpga开发·labview·rt·开发技巧
labview_自动化9 天前
Labview helper
labview
LabVIEW开发9 天前
LabVIEW软件出现Bug如何解决
labview·错误处理·开发技巧
LabVIEW开发9 天前
LabVIEW提高开发效率技巧----合理管理程序架构
labview·开发技巧·程序架构
LabVIEW开发10 天前
LabVIEW界面输入值设为默认值
labview·开发技巧·默认值
LabVIEW开发10 天前
通过 LabVIEW 正则表达式读取数值(整数或小数)
正则表达式·labview·数字·开发技巧
LabVIEW开发10 天前
LabVIEW提高开发效率技巧----自动化测试和持续集成
labview·开发技巧
LabVIEW开发16 天前
LabVIEW提高开发效率技巧----采用并行任务提高性能
labview·并行·开发技巧