SOC设计:关于reset的细节

有如下几个信号

1、时钟:clk_top

2、总的reset信号:rstn_top

3、scan的reset信号:scan_rstn

4、软件复位信号:rstn_soft_sub

5、scan模式信号:scan_mode

6、reset bypass 信号:scan_rstn_sel

功能:

一:在非test模式时,也就是test_mode=1'd0时,如果rstn_soft_sub=1,则rstn_top直接透传过去给reset_soft_block里的rstn,然后rstn再经过2拍同步处理,然后产生rstn_sub。这里涉及到了异步复位,同步释放知识点。

二:正常功能仿真时,scan_mode = 1'd0 和scan_rstn_sel=0,直观点讲,rstn_sub是由rstn_top同步处理2拍后产生。

reset_soft_block u0_sub_rstn_gen (/*autoinst*/

.clk (clk_top ), // input

.rstn0 (rstn_top ), // input

.rstn1 (scan_rstn ), // input

.rstn_soft (rstn_soft_sub ), // input

.test_mode (scan_mode ), // input

.rst_bypass (scan_rstn_sel ), // input

.rstn_out (rstn_sub ) // output

);

reset_soft_block功能

module reset_soft_block (

clk,

rstn0,

rstn1,

rstn_soft,

test_mode,

rst_bypass,

rstn_out

);

input clk;

input rstn0;

input rstn1;

input rstn_soft;

input test_mode;

input rst_bypass;

output rstn_out;

wire rstn;

wire rstnsync;

//assign rstn = rstn0 & (rstn_soft | test_mode);

macro_oa21 C1 ( .b0(rstn0), .a0(rstn_soft), .a1(test_mode), .o(rstn) );

resetsync u_rstn_sync (.clk(clk), .rstn(rstn), .rstnsync(rstnsync));

macro_mux C2 ( .d0(rstnsync), .d1(rstn1), .s(rst_bypass), .o(rstn_out) );

endmodule

module resetsync ( clk, rstn, rstnsync);

input clk;

input rstn;

output rstnsync;

wire rstnsync1;

macro_sdfr u_rstnsync1(.CK(clk), .D(rstn), .RB(rstn), .Q(rstnsync1));

macro_sdfr u_rstnsync2(.CK(clk), .D(rstnsync1), .RB(rstn), .Q(rstnsync));

endmodule

相关推荐
思尔芯S2C1 天前
高密原型验证系统解决方案(下篇)
fpga开发·soc设计·debugging·fpga原型验证·prototyping·深度调试·多fpga 调试
看未来捏1 天前
【数字集成电路与系统设计】Chisel/Scala简介与Verilog介绍
scala·verilog·chisel
小桶qa2 天前
音频左右声道数据传输_2024年9月6日
音频·verilog
吉孟雷5 天前
ZYNQ FPGA自学笔记
fpga开发·verilog·led·仿真·vivado·zynq
热爱学习地派大星10 天前
BRAM IP Native模式使用
fpga开发·ip·verilog·fpga·存储器·bram
FPGA狂飙11 天前
1分钟 快速掌握 双向信号(inout信号)
fpga开发·verilog·fpga·xilinx
米联客(milianke)12 天前
[米联客-XILINX-H3_CZ08_7100] FPGA程序设计基础实验连载-24 TPG图像测试数据发生器设计
fpga开发·verilog
米联客(milianke)14 天前
[米联客-XILINX-H3_CZ08_7100] FPGA程序设计基础实验连载-26浅谈XILINX FIFO的基本使用
fpga开发·verilog
亦安的数字小站15 天前
ARM V2微架构
verilog
FPGA狂飙17 天前
【FPGA数字信号处理】并行FIR滤波器
fpga开发·信号处理·verilog·fpga·xilinx